Download EUROCOM Notebook V12.2.00 Service manual

Transcript
Model 5100S/5500S
Service Manual
Mainboard
D/D board
Inverter board
Hard transfer board
Specifications are subject to change without notice.
October, 2000
Contents
System specifications ........................................................................................... 1
Chipsets ................................................................................................................ 3
SiS630S ................................................................................................................. 3
PC Card Chipset ..................................................................................................... 8
CPU and Memory .................................................................................................. 9
CPU ........................................................................................................................ 9
Adding or replacing the processor. ........................................................................ 10
A: Remove the heat sink ................................................................................................ 10
B: Remove the processor .............................................................................................. 11
C: Insert a new processor .............................................................................................. 12
D: Reinstall the heat sink ................................................................................................ 13
E: Changing the SW1 DIP Switch settings .................................................................... 13
Memory ................................................................................................................. 15
Expansion Memory Socket .................................................................................... 16
Installing a Memory Module ............................................................................................ 17
Changing the S3 DIP Switch settings ............................................................................ 18
Removing a Memory Module .......................................................................................... 19
Drive information and Pin assignments ............................................................. 20
Storage Devices.................................................................................................... 20
HDD (BUILT-IN) ................................................................................................................. 20
HDD PIN ASSIGNMENT ................................................................................................. 20
Removing the HDD from the notebook .......................................................................... 21
Removing the HDD from its tray .................................................................................... 21
Inserting the HDD ........................................................................................................... 21
FDD .................................................................................................................................. 22
FDD PIN ASSIGNMENT ................................................................................................. 22
Removing the Floppy Disk Drive .................................................................................... 22
Inserting the Floppy Disk Drive ....................................................................................... 23
DVD-ROM ......................................................................................................................... 24
DVD-ROM PIN ASSIGNMENT ....................................................................................... 24
Removing the DVD-ROM Module ................................................................................... 25
Inserting the DVD-ROM module ..................................................................................... 25
CD-ROM (OPTIONAL) ...................................................................................................... 26
CD-ROM PIN ASSIGNMENT .......................................................................................... 26
Interface Pin Assignments ..................................................................................... 27
RS-232 Serial Interface .................................................................................................. 27
Parallel Interface ............................................................................................................. 27
USB Interface ................................................................................................................. 27
Internal trackpad Interface .............................................................................................. 28
External Monitor Interface ............................................................................................... 28
External Keyboard/PS2 Mouse Interface ........................................................................ 28
PCMCIA CardBus Interface ............................................................................................ 29
Internal PCI Interface ...................................................................................................... 30
LCD Interface ................................................................................................................. 31
Power .................................................................................................................. 32
Application: ........................................................................................................... 32
Charge board ........................................................................................................ 35
Inverter board ........................................................................................................ 38
Adaptor ................................................................................................................. 39
Battery Pack .......................................................................................................... 41
Battery diagram ..................................................................................................... 44
Component diagrams and part numbers ........................................................... 45
Schematic Drawings ........................................................................................... 51
System specifications
The 5100S/5500S uses the SiS630S core logic and InSyde BIOS code. This product also
features three bays for user-installed modules (a HDD, a CD-ROM or DVD-ROM or a FDD),
and has an optional Fax/Modem MDC module.
The main unit of the Model 5100S/5500S Notebook PC has the following components:
– Intel Mobile Pentium III with AGP technology-based mainboard, using the SiS630S
chipset solution supporting SDRAM with 0 MB on-board DRAM, expandable to
32MB, 64MB, 96MB, 128MB, 192MB, 256MB, or 512MB using one or two expansion
S.O. DIMMs
– user-installed modules: CD-ROM, DVD-ROM and an FDD
– main storage (HDD) bay: principal HDD, 2.5” up to 12GB(Ultra DMA33/66)
– User interfaces:
·
·
one internal keyboard, 84 keys (depending on the language)
one built-in trackpad
·
one 800x600 SVGA TFT 12.1” LCD display panel with CCFT backlight
– Power Solutions
·
·
power bay: battery pack
AC/DC adapter
CPU ( µPGA2)
Intel Celeron-450
(1.6V)
Intel Celeron-500
Intel Celeron-550
(1.6V)
(1.6V)
Intel Celeron-700
Intel Pentium III-600 *
(1.6V)
(1.6V)
Intel Pentium III-650 *
Intel Pentium III-700 *
(1.6V)
(1.6V)
Intel Pentium III-750 *
Intel Pentium III-850 *
(1.6V)
(1.6V)
FDD
removable 3.5" 1.44MB
Memory
L2 Cache (on die)
Celeron(.18) series 128KB
Pentium III series 256KB
On board RAM
Upgradable to
0MB
512MB (MAX.)
* with Intel SpeedStep Technology
BIOS
CD-ROM
able module
CD type
Height
Data transfer rate
InSyde
(MKE CR175) 24X remov12.8cm
256KB
HDD
Removable module
Drive size
12.7mm
3600KB/s (max)
up to 12GB
2.5"
Random access time
<100ms
Compliance
Multimedia PC-2 Spec.
Height maximum
Average access time
Transport
Interface
Interface:
PCI local bus master
IDE with Ultra DMA33/66 I/F
drawer type load/eject
PCI local bus master IDE
1
12.7mm
<13ms
Keyboard
Power Saving Management
Keys
Fn key support
84
YES
Doze mode
Sleep mode
YES
YES
Integrated numeric keypad
Inverted "T" layout cursor keys
YES
YES
Suspend/Resume mode
Suspend to HDD mode
YES
YES
Hot key control suspend
APM ver 1.2 support
YES
YES
ACPI Ver 1.0 support
YES
TouchPad
built-in
Interface
x1
PS/2
Power Supply
AC adapter
AC-in
Capacity
LCD TFT/DSTN
100-240V 47-63Hz
65W
Battery pack
Li-Ion
Physical
Dimension
Weight
316mm(W)
256mm(D)
Backlite
Size
CCFT
12.1"
Resolution
Color (CRT)
800x600
16,77M
Monitor
Support
1280x1024
non-interlaced
38.5mm(H)
3KG (with Lithium-lon
battery)
Display
LCD/CRT (simultaneous)
VGA/EGA/CGA/Hercules compatible
YES
Packaging
(standard)
AC/DC adaptor & power cord
YES
x1
AGP 3D graphics accelerator
Adjustable brightness (TFT)
YES
YES
Ports
Serial port
x1
IrDA/SIR/ASK/FIR
Parallel port
x1
x1
User™s manual (printed format) x 1
(Optional)
Car adapter
Smart Li-Ion battery pack
x1
x1
S.O. DIMM 32MB/64MB/128MB/256MB
Fax/modem module(56K, MDC)
x1
DVD-ROM (X8)
CD-RW
Audio
3D, Sound Blaster compatible
YES
Built-in speakers
Built-in microphone
2
1
External Audio Jacks
Speaker-out Jack
YES
Microphone-in Jack
15 pin external video port
x1
External 101/102 keyboard port/ PS/2 mouse
x1
x1
YES
2
PC Card Standard Type I
x1
x1
Modem (RJ-11) port for MDC
USB connector
x1
x1
speaker-out jack
microphone-in jack
x1
x1
LAN (RJ-45) port
x1
Chipsets
SiS630S
–
provides a high performance/low cost Desktop solution for the Intel mobile CPU
–
based system
integrates a high performance North Bridge
–
has an advanced hardware 2D/3D GUI engine, Super-South bridge or an external
AGP4X Slot
The SiS630S is a system-on-chip solution that complies with
–
–
Easy PC Initiative which supports Instantly Available/OnNow PC technology
USB
–
–
Legacy Removal
Slotless Design and FlexATX form factor
The SiS630S:
–
–
integrates UltraAGPTM technology and advanced 128-bit graphic display interface.
delivers AGP 4x performance and memory bandwidth up to 1 GB/s.
–
–
supports an extra AGP Slot that supports 4X and Fast Write transactions.
provides powerful hardware decoding DVD accelerator to improve the DVD
–
playback performance.
Provides the standard interface for CRT monitors
–
provides the Digital Flat Panel Port (DFP) for a standard interface between a
personal computer and a digital flat panel monitor.
–
adopts Share System Memory Architecture which can flexibly utilize the frame
buffer size up to 64MB.
Key Features:
“Super-South Bridge” in SiS630S
-
integrates all peripheral controllers/accelerators /interfaces.
provides a total communication solution including 10/100Mb Fast Ethernet for
Office requirement and 1Mb HomePNA for Home Networking.
-
offers AC’97 compliant interface that comprises digital audio engine with 3Dhardware accelerator, on-chip sample rate converter, and professional wavetable
along with separate modem DMA controller.
-
provides interface to Low Pin Count (LPC) operating at 33 MHz clock which is the
same as PCI clock on the host, and dual USB host controllers with six USB ports
that deliver better connectivity and 2 x 12Mb bandwidth.
-
The built-in fast PCI IDE controller supports the ATA PIO/DMA, and the Ultra
DMA33/66 function that supports the data transfer rate up to 100 MB/s. It provides
the separate data path for two IDE channels that can eminently improve the
performance under the multi-tasking environment.
3
Host Interface Controller
-
Supports Intel mobile Pentium II/!!! CPUs
Synchronous Host/DRAM Clock Scheme
-
Asynchronous Host/DRAM Clock Scheme
Integrated DRAM Controller
-
3-DIMM/6-Bank of 3.3V SDRAM
Supports Memory Bus up to 133 MHz
-
System Memory Size up to 3 GB
Up to 512MB per Row
-
Supports 16Mb, 64Mb, 128Mb, 256Mb, 512Mb SDRAM Technology
Suspend-to-RAM (STR)
-
Relocatable System Management Memory Region
Programmable Buffer Strength for CS#, DQM[7:0], WE#, RAS#, CAS#, CKE,
-
MA[14:0] and MD[63:0]
Shadow RAM Size from 640KB to 1MB in 16KB increments
-
Two Programmable PCI Hole Areas
Integrated A.G.P. Compliant Target/66Mhz Host-to-PCI Bridge
-
AGP v2.0 Compliant
Supports Graphic Window Size from 4MBytes to 256MBytes
-
Supports Pipelined Process in CPU-to-Integrated 3D A.G.P. VGA Access
Supports 8 Way, 16 Entries Page Table Cache for GART to Enhance Integrated
-
A.G.P. VGA Controller
Read/Write Performance
-
Supports PCI-to-PCI Bridge Function for Memory Write from 33Mhz PCI Bus to
Integrated A.G.P. VGA
-
Supports Additional AGP slot with 4X and Fast Write Transaction
Meet PC99 Requirements
PCI 2.2 Specification Compliant
High Performance PCI Arbiter
-
Supports up to 4 PCI Masters
Rotating Priority Arbitration Scheme
-
Advanced Arbitration Scheme Minimizing Arbitration Overhead.
Guaranteed Minimum Access Time for CPU And PCI Masters
Integrated Host-To-PCI Bridge
-
Zero Wait State Burst Cycles
-
CPU-to-PCI Pipeline Access
256B to 4KB PCI Burst Length for PCI Masters
-
PCI Master Initiated Graphical Texture Write Cycles Re-mapping
Reassembles PCI Burst Data Size into Optimized Block Size
4
Fast PCI IDE Master/Slave Controller
-
Supports PCI Bus Mastering
Native Mode and Compatibility Mode
-
PIO Mode 0, 1, 2 , 3, 4
Multiword DMA Mode 0, 1, 2
-
Ultra DMA 33/66/100
Two Independent IDE Channels Each with 16 DW FIFO
Virtual PCI-to-PCI Bridge
Integrated Ultra AGP VGA for Hardware 2D/3D Video/Graphics Accelerators
-
Supports Tightly Coupled 64 Bits Host Interface to VGA to Speed Up GUI
Performance and Video Playback Frame Rate
-
AGP v. 2.0 Compliant
Zero-Wait-State 128x4 Post-Write Buffer with Write Combine Capability
-
Zero-Wait-State 128x4 2-Way Read Ahead Cache Capability
Re-locatable Memory-Mapped and I/O Address Decoding
-
Flexible Design Shared Frame Buffer Architecture for Display Memory
Shared System Memory Area up to 64MB
-
Built-in 8K Bytes Texture Cache
Supports High Quality Dithering
-
Supports Bump Mapping
Supports 8/16/24/32 BPP RGB/ARGB Texture Format
-
Supports Video YUV Texture in All Supported Texture Formats
128-Bit 2D Engine with a Full Instruction Set
-
Maximum 64 MB Frame Buffer with Linear Addressing
Supports Hardware DVD Accelerator
-
Supports Single Frame Buffer Architecture
Supports Two Independent Video Windows with Overlay Function and Scaling
-
Factors
Supports YUV-To-RGB Color Space Conversion
-
Supports Graphic and Video Overlay Function
Supports CD/DVD to TV Playback Mode
-
Simultaneous Graphic and TV Video Playback Overlay
Supports RGB555, RGB565, YUV422 and YUV420 Video Playback Format
-
Supports Filtered Horizontal Up and Down Scaling Playback
Supports DVD Sub-Picture Playback Overlay
-
Supports DVD Playback Auto-Flipping
Built-in Two Video Playback Line Buffers
-
Built-in Programmable 24-bit True-Color RAMDAC up to 270 MHz Pixel Clock
RAMDAC Snoop Function
-
Built-in Dual-Clock Generator
Supports Multiple Adapters and Multiple Monitors
-
Built-in PCI Multimedia Interface
Supports Digital Flat Panel Port for Digital Monitor (LCD Panel)
5
-
Built-in VESA Plug and Display for CH7003, PanelLinkTM and LVDS Digital
-
Interface
Built-in Secondary CRT Controller for Independent Secondary CRT, LCD or TV
-
digital output
Supports VESA Standard Super High Resolution Graphic Modes
640x480
800x600
16/256/32K/64K/16M colors 120 Hz NI
16/256/32K/64K/16M colors 120 Hz NI
1024x768
1280x1024
256/32K/64K/16M colors 120 Hz NI
256/32K/64K/16M colors 85 Hz NI
1600x1200
1920x1440
256/32K/64K/16M colors 85 Hz NI
8bbp/16bbp 60NI
-
Low Resolution Modes
Supports Virtual Screen up to 4096x4096
-
Fully Directx 7.0 Compliant
Efficient and Flexible Power Management with ACPI Compliance
Low Pin Count Interface
-
Forwards PCI I/O and Memory Cycles into LPC Bus
-
Translates 8-/16-bit DMA Cycles into PCI Bus Cycles
Advanced PCI H/W Audio & Modem
Advanced Power Management
-
Meets ACPI 1.0b Requirements
-
Meets APM 1.2 Requirements
ACPI Sleep States Include S1, S4, S5
-
CPU Power States Include C0, C1, C2 C3
Power Button with Override
-
RTC Day-of-Month, Month-of-Year Alarm
24-bit Power Management Timer
-
LED Blinking in S1 State
System Power-Up Events Include: Power Button, Hot-Key, Keyboard Password/
-
HotKey, RTC Alarm, Modem Ring-In, LAN, PME#, AC’97 Wake-Up and USB
-
Wake-Up
Software Watchdog Timer
-
Power Supply’98 Support
PCI Bus Power Management Interface Spec. 1.0
Integrated DMA Controller
-
Two 8237A Compatible DMA Controllers
-
8/16- bit DMA Data Transfer
Distributed DMA Support
Integrated Interrupt Controller
-
Two 8237A Compatible DMA Controllers
-
Two 8259A Compatible Interrupt Controllers
Level- or Edge-Triggered Programmable
6
-
Serial IRQ
-
Interrupt Sources Re-routable to Any IRQ Channel
Three 8254 Compatible Programmable 16-bit Counters
-
System Timer Interrupt
Generate Refresh Request
-
Speaker Tone Output
Integrated Keyboard Controller
-
Hardwired Logic Provides Instant Response
Supports PS/2 Mouse Interface
-
Password Security and Password Power-Up
System Sleep and Power-Up by Hot-Key
-
KBC and PS2 Mouse Can Be Individually Disabled
Integrated Real Time Clock (RTC) with 256B CMOS SRAM
-
Supports ACPI Day-of-Month and Month-of-Year Alarm
256 Bytes of CMOS SRAM
-
Provides RTC H/W Year 2000 Solution
Universal Serial Bus Host Controller
-
OpenHCI Host Controller with Root Hub
Two USB Host Controllers
-
Six USB Ports
Supports Legacy Devices
-
Over Current Detection
2
I C Bus/SMBUS Series Interface
Integrated Fast Ethernet Controller and MAC Interface
-
Plug and Play Compatible
-
High-Performance 32-Bit PCI Bus Master Architecture with Integrated Direct
Memory
-
Supports Big Endian and Little Endian Byte Alignments
Implements Optional PCI 3.3v Auxiliary Power Source 3.3Vaux Pin And Optional
-
PCI
Supports Software, Enhanced Software, and Automatic Polling Schemes to Internal
-
PHY Status Monitor and Interrupt
Supports 10base-T, 100base-Tx
NAND Tree for Ball Connectivity Testing
672-Balls BGA Package
1.8V Core with Mixed 3.3V and 5V I/O CMOS Technology
7
PC Card Chipset
The PCI1410 supports the following features:
-
Ability to wake from D3 hot and D3 cold
Fully compatible with the IntelE 430TX (Mobile Triton II) chipset
A 144-Pin Low-Profile QFP (PGE), 144-ball MicroStar Ball Grid Array (GGU)
package, or 209-ball MicroStar Ball Grid Array (GHK) package
-
3.3-V core logic with universal PCI interfaces compatible with 3.3-V and 5-V PCI
signaling environments
-
Mix-and-match 5-V/3.3-V 16-bit PC Cards and 3.3-V CardBus Cards
Single PC Card or CardBus slot with hot insertion and removal
Burst transfers to maximize data throughput on the PCI bus and the CardBus bus
Parallel PCI interrupts, parallel ISA IRQ and parallel PCI interrupts, serial ISA
IRQ with parallel PCI interrupts, and serial ISA IRQ and PCI interrupts
-
Serial EEPROM interface for loading subsystem ID and subsystem vendor ID
-
Pipelined architecture allows greater than 130M bps sustained throughput from
CardBus-to-PCI and from PCI-to-CardBus
-
Interface to parallel single-slot PC Card power interface switches like the TI
TPS2211
-
Up to five general-purpose I/Os
Programmable output select for CLKRUN
Five PCI memory windows and two I/O windows available to the 16-bit PC Card
socket
-
Two I/O windows and two memory windows available to the CardBus socket
Exchangeable Card Architecture (ExCA) compatible registers are mapped in
memory and I/O space
-
Intel 82365SL-DF and 82365SL register compatible
Distributed DMA (DDMA) and PC/PCI DMA
16-Bit DMA on the PC Card socket
Ring indicate, SUSPEND, PCI CLKRUN, and CardBus CCLKRUN
Socket activity LED pins
PCI Bus Lock (LOCK)
Advanced Submicron, Low-Power CMOS Technology
Internal Ring Oscillator
8
CPU and Memory
CPU
The 5100S/5500S Notebook PC uses the Intel Mobile Pentium III/Celeron (.18) processor in a
µPGA2 package.
The Intel Mobile Pentium III/Celeron (.18) processor features an integrated L2 cache(256KB
for Pentium III and 128KB for Celeron (.18)) and a 64-bit high performance system bus.
The Mobile Pentium III/Celeron (.18) processor’s 64-bit wide Low Power Gunning Transceiver Logic system bus is compatible with the SIS630S AGPSet and provides a glue-less,
point-to-point interface for an I/O bridge/memory controller.
The Intel Mobile Pentium III and Celerons (.18) processors are fully compatible with all
software written for the Pentium processor with MMX technology, Pentium processor,
Intel486 microprocessor, and Intel386 microprocessor. In addition, they provide improved
multimedia & communications performance.
They feature:
Performance improved over existing mobile processors
- Supports the Intel Architecture with Dynamic Execution
- Supports the Intel Architecture MMX technology
Integrated primary (L1) instructions and data caches
- 4-way set associative, 32-byte line size, 1 line per sector
- 16-Kbyte instruction cache and 16-Kbyte writeback data cache
- Cacheable range programmable by processor programmable registers
Integrated second level (L2) cache
- 4-way set associative, 32-byte line size, 1 line per sector
- Operated at full core speed
- 128/256-Kbyte, ECC protected cache data array
Low Power GTL+ system bus interface
- 64-bit data bus, 100-MHz operation
- Uniprocessor, two loads only (processor and I/O bridge/memory controller)
- Short trace length and low capacitance allows for single ended termination
Voltage reduction technology
Pentium III processor clock control
- Quick Start for low power, low exit latency clock ‘throttling’
- Deep Sleep mode for extremely low power dissipation
Thermal diode for measuring processor temperature
9
Adding or replacing the processor.
Note: If you plan on removing the heat sink, which is necessary to add or replace the
processor, you will need to have a replacement heat sink pad available. Before proceeding, please contact your dealer to get a replacement pad which you will need when you
reinstall the heat sink.
In order to add or replace the processor you must:
A: Remove the heat sink
B: Remove the processor
C: Insert a new processor
D: Reinstall the heat sink
E: Changing the SW DIP Switch settings
A: Remove the heat sink
1) Turn off the computer
2) Turn over the computer
3) Remove the Heat Sink and CPU Cover
heat sink screws
Heat sink
O
L
heat sink cable
4) Remove the 4 screws which hold the
heat sink in place.
5) Gently remove the heat sink cable.
6) Lift the heat sink out of the computer
Figure 4-3
10
B: Remove the processor
The processor is secured on the mainboard with a lock which is easily opened using a small
regular screwdriver.
With the heat sink already removed you will need to set the lock to the open position before
removing the processor:
processor mounted on the socket
O
L
Processor socket
Lock
OPEN
1) Turn the screw on the
processor lock to the
open position. (O)
O
L
11
O
2) Lift the processor
from the socket.
L
C: Insert a new processor
1) With the processor lock in the open position, align the pins of the processor with the
holes in the socket.
O
2) Press the processor into
the socket.
L
LOCK
3) Turn the screw to the locked
position (L)
O
L
12
D: Reinstall the heat sink
Note: When reinstalling the heat sink, you will also have to replace the heat sink pad. A
heat sink pad can be obtained from your dealer.
1) Peel off the old heat sink pad and stick on a new one.
2) Insert the heat sink cable in the slot. (Figure 4-3)
3) Align the 4 screw holes on the heat sink with those on the mainboard and screw them
in about half way. Once all the screws are in about half way and the heat sink is
seated probably tighten the screws.
Heat sink pad
E: Changing the SW1 DIP Switch settings
If you have installed the processor with Intel Speedstep you will have to change the
SW1 DIP Switch settings. Follow these steps to get to change the SW1 DIP Switch
setings:
1) Turn off the computer.
2) Press the two keyboard latches to elevate the keyboard from its normal position.
3) Carefully lift the keyboard assembly out to expose the
mainboard.
13
4) Remove the metal protective shield
5) Locate the SW DIP Switch on the right side.
6) Change the settings to the following:
SW settings for Intel Speedstep processor
SW1-1
SW1-2
SW1-3
SW1-4
ON
ON
ON
OFF
7) Put the metal shield back into place
8) Put the keyboard back into place.
SW1 DIP Switch
Metal shield
ON position
OFF position
14
Memory
The computer has two memory sockets for PC-100/PC-133 compliant, 144 pin SODIMM
(Small Outline Dual In-line Memory Module) modules. The memory can be expanded to 512
MB with the following combinations:
Bank 0
(64-bit)
Bank 1
(64-bit)
32 MB
32 MB
64 MB
64 MB
64 MB
128 MB
128 MB
128 MB
128 MB
256 MB
256 MB
256 MB
256 MB
256 MB
Empty
32 MB
Empty
32 MB
64 MB
Empty
32 MB
64 MB
128 MB
Empty
32 MB
64 MB
128 MB
256 MB
Power
3.3V
Total
Size
32 MB
64 MB
64 MB
96 MB
128 MB
128 MB
160 MB
192 MB
256 MB
256 MB
288 MB
320 MB
384 MB
512 MB
Once a new module is installed the memory size is automatically
detected by the POST routines when you turn on your computer.
15
Expansion Memory Socket
The Model 5100S/5500S Notebook PC has two 144-pin SODIMM type memory sockets with the
following configuration:
Pin
SDRAM
Pin
SDRAM
Pin
SDRAM
Pin
SDRAM
1
Vss
2
Vss
73
Reserved
74
CLK1
3
DQ0
4
DQ32
75
Vss
76
Vss
5
DQ1
6
DQ33
77
Reserved
78
Reserved
7
DQ2
8
DQ34
79
Reserved
80
Reserved
9
DQ3
10
DQ35
81
Vdd
82
Vdd
11
Vdd
12
Vdd
83
DQ16
84
DQ48
13
DQ4
14
DQ36
85
DQ17
86
DQ49
15
DQ5
16
DQ37
87
DQ18
88
DQ50
17
DQ6
18
DQ38
89
DQ19
90
DQ51
19
DQ7
20
DQ39
91
Vss
92
Vss
21
Vss
22
Vss
93
DQ20
94
DQ52
23
DQMB0
24
DQMB4
95
DQ21
96
DQ53
25
DQMB1
26
DQMB5
97
DQ22
98
DQ54
27
Vdd
28
Vdd
99
DQ23
100
DQ55
29
A0
30
A3
101
Vdd
102
Vdd
31
A1
32
A4
103
A6
104
A7
33
A2
34
A5
105
A8
106
BA0
35
Vss
36
Vss
107
Vss
108
Vss
37
DQ8
38
DQ40
109
A9
110
BA1
39
DQ9
40
DQ41
111
A10
112
A11
41
DQ10
42
DQ42
113
Vdd
114
Vdd
43
DQ11
44
DQ43
115
DQMB2
116
CAS6#
45
Vdd
46
Vdd
117
DQMB3
118
DQMB7
47
DQ12
48
DQ44
119
Vss
120
Vss
49
DQ13
50
DQ45
121
DQ24
122
DQ56
51
DQ14
52
DQ46
123
DQ25
124
DQ57
53
DQ15
54
DQ47
125
DQ26
126
DQ58
55
Vss
56
Vss
127
DQ27
128
DQ59
57
Reserved
58
Reserved
129
Vdd
130
Vdd
59
Reserved
60
Reserved
131
DQ28
132
DQ60
61
CLK0
62
CKE0
133
DQ29
134
DQ61
63
Vdd
64
Vdd
135
DQ30
136
DQ62
65
RAS#
66
CAS#
137
DQ31
138
DQ63
67
WE#
68
CKE1#
139
Vss
140
Vss
69
S0#
70
A12
141
SDA
142
SCL
71
S1#
72
A13
143
Vdd
144
Vdd
16
Installing a Memory Module
1) Turn off the computer.
2) Press the two keyboard latches at the top of the
keyboard to elevate the keyboard from its normal
position.
3) Carefully lift the keyboard assembly out to expose
the mainboard.
Figure 4-1
Bank 1
Bank 0
4) Locate the memory banks, Bank 0 is on the
right and Bank 1 is on the left.
Figure 4-2
Note: Only use Bank 0 if you have one memory module. If you are using two memory modules always use the larger module in Bank 0.
5) Insert the memory module at an angle (about 45°)
.
and fit its connectors firmly into the bank
6) Press down the edge of the memory module and lock
it into place
.
7) Put the keyboard back into place.
17
Note: Make sure the connectors go into the bank. You must use a RAM module that complies with Intel unbuffered SODIMM (67.6 mm x 29.0 mm). Please consult your dealer for
the details.
67.6 mm
29.0 mm
connectors
Changing the S3 DIP Switch settings
Once you have installed the new memory you will have to change the DIP Switch settings depending on the type of memory you have installed. Please refer to the chart
below for the correct settings for the S3 DIP Switches
SDRAM
TYPE
PC100
PC133
S3-1
S3-2
S3-3
S3-4
ON
ON
OFF
OFF
OFF
ON
OFF
OFF
S3 DIP Switch
ON position
OFF position
18
Removing a Memory Module
1) Turn off the computer.
2) Press the two keyboard latches to elevate the keyboard from its normal position
(refer to Figure 4-1)
3) Carefully lift the keyboard assembly out to expose the mainboard.
4) Locate the memory sockets. Bank 0 is on the left and Bank 1 is on the right. (refer
to Figure 4-2)
5) Gently pull the two latches outward on both ends of the module
6) The module will pop up
.
.
7) Remove the memory module
.
8) Install a new memory module if desired (refer to Installing a Memory Module).
9) Put the keyboard back into place.
19
Drive information and Pin assignments
Storage Devices
HDD (BUILT-IN)
-
2.5", 12.7mm max. height and removable HDD
Average access time: below 13ms
-
PCI local bus IDE interface
Supports: 12GB or higher HDD (Ultra DMA 33/66/100)
-
MTBF 300,000 hours
ULTRA DMA/SMART
HDD PIN ASSIGNMENT
Pin
Description
Pin
Description
A-D
DRIVE ID SELECT
E, F
KEY
1
RESET-
2
GROUND
3
+DD7
4
+DD8
5
+DD6
6
+DD9
7
+DD5
8
+DD10
9
+DD4
10
+DD11
11
+DD3
12
+DD12
13
+DD2
14
+DD13
15
+DD1
16
+DD14
17
+DD0
18
+DD15
19
GROUND
20
KEY
21
DMARQ
22
GROUND
23
DIOW-
24
GROUND
25
DIOR-
26
GROUND
27
IORDY
28
CSEL
29
DMACK-
30
GROUND
31
INTRQ
32
IOCS16-
33
DA1
34
PDIAG-
35
DA0
36
DA2
37
CS0-
38
CS1-
39
DASP-
40
GROUND
41
+5 VOLTS SUPPLY
42
+5 VOLTS SUPPLY
43
GROUND
44
RESERVED
20
Removing the HDD from the notebook
1) Turn the computer off.
2) Turn the computer over.
3) Locate the HDD latch
.
4) Slide and hold the latch forward then slide
the HDD out of the computer.
5) Lift the hard disk drive out of the
computer.
Removing the HDD from its tray
1) Remove the HDD case from the computer (refer to Removing the HDD in Chapter
2 for details).
2) Remove the two sets of screws on the side of the case.
3) Slowly remove the HDD from the case until you see the connecting cable.
4) Gently disconnect the cable from the HDD being careful not to bend any pins or
crimp the cable.
5) Connect a new HDD
to the cable being
careful not to bend
any pins or crimp the
cable.
6) Slowly place the HDD
back into the case.
7) Hold the HDD firmly
in place with two
screws on each side.
fastening screws
8) Insert the HDD into
the computer (refer to
Inserting the HDD
in Chapter 2 for
fastening screws
details)
Inserting the HDD
1) Turn off the computer.
2) Turn the computer over.
3) Place the HDD case into the computer.
4) Slide the HDD in until you hear a click.
21
FDD
-
3.5", 1.44MB floppy disk drive
3-Mode support for Japanese market
FDD PIN ASSIGNMENT
Pin
Description
Pin
Description
1
+5 V
2
INDEX
3
+5 V
4
DRIVE SELECT0
5
+5 V
6
DISK CHANGE
7
N.C.
8
Ready
9
HD(High : HD)
10
MOTOR ON
11
N.C.
12
DIRECTION
13
Mode Select
14
STEP
15
GND
16
WRITE DATA
17
GND
18
WRITE GATE
19
GND
20
TRACK 00
21
GND
22
WRITE PROTECT
23
GND
24
READ DATA
25
GND
26
Side One Select
Removing the FDD
Removing the Floppy Disk Drive
1) Turn off the computer.
2) Turn the computer over.
3) Locate the DVD / FDD cover
.
4) Unscrew and remove the cover .
5) Lift the white plastic piece which holds
the FDD cable in place
6) Pull out the FDD cable
.
.
7) Grasp the FDD tab and gently PULL
the FDD out of the computer
.
22
8) Remove the two screws on each side of the FDD tray.
9) Remove the FDD from its tray. (see picture)
fastening screws
fastening screws
The FDD floppy disk drive out of its bay
Inserting the Floppy Disk Drive
Follow the instructions for removing the FDD in reverse order.
23
DVD-ROM
-
Model Matsushita SR8173
Dimensions
128mm(W)x12.7mm(H)x127mm(D)
Random access time
130ms-CD / 170ms-DVD
Data transfer rate
4X speed (5400KB/s)-DVD
Mechanism
tray-loading
Interface
ATAPI
MTBF
60,000POH
DVD-ROM PIN ASSIGNMENT
Signal Name
I/O
AUDIO L-CH
AUDIO GROUND
/RESET
DD7
DD6
DD5
DD4
DD3
DD2
DD1
DD0
GROUND
/DIOW
IORDY
INTRQ
DA1
DA0
/CS1FX
/DASP
+5 V
+5 V
GROUND
GROUND
CSEL
RESERVED
O
I
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I
O
O
I
I
I
I/O
I
I
I
Connector
Contact
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
24
I/O
Signal Name
O
AUDIO R-CH
N.C.
DD8
DD9
DD10
DD11
DD12
DD13
DD14
DD15
DMARQ
/DIOR
GROUND
/DMACK
/IOCS16
/PDIAG
DA2
/CS3FX
+5 V
+5 V
+5 V
GROUND
GROUND
GROUND
RESERVED
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
O
I
I
O
I/O
I
I
I
I
I
Removing the DVD-ROM Module
Removing the DVD-ROM Module
1) Turn off the computer.
2) Turn the computer over.
3) Locate the DVD/FDD cover .
4) Unscrew and remove the cover.
5) Remove the single screw which holds the DVD-ROM in the computer
.
6) Locate the cable tab and gently pull the cable tab upward to disconnect the DVD-ROM
from the computer mainboard
.
7) Grasp the DVD-ROM tab and gently PULL the DVD-ROM out of the computer
Inserting the DVD-ROM module
Refer to removing the DVD-ROM and follow the instructions in reverse order.
25
.
CD-ROM (OPTIONAL)
-
Model
Dimensions
Matsushita CR175
128mm(W)x12.7mm(H)x127mm(D)
-
Random access time
Data transfer rate
120ms
24X speed (3600KB/s)
-
Mechanism
Interface
tray-loading
ATAPI
-
MTBF
60,000POH
CD-ROM PIN ASSIGNMENT
Signal Name
I/O
AUDIO L-CH
AUDIO
GROUND
/RESET
DD7
DD6
DD5
DD4
DD3
DD2
DD1
DD0
GROUND
/DIOW
IORDY
INTRQ
DA1
DA0
/CS1FX
/DASP
+5 V
+5 V
GROUND
GROUND
CONFIG(*1)
RESERVED
O
I
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I
O
O
I
I
I
I/O
I
I
I
Connector
Contact
1
2
3
4
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
26
I/O
Signal Name
O
AUDIO R-CH
GROUND
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
O
I
I
O
I/O
I
I
I
I
I
DD8
DD9
DD10
DD11
DD12
DD13
DD14
DD15
DMARQ
/DIOR
GROUND
/DMACK
/IOCS16
/PDIAG
DA2
/CS3FX
+5 V
+5 V
+5 V
GROUND
GROUND
GROUND
RESERVED
Interface Pin Assignments
RS-232 Serial Interface
The RS-232C Serial Interface uses a 9 pin D-sub male connector with the following configuration:
Pin
1
2
3
4
5
6
7
8
9
Description
DCD (DATA Carrier Detect)
RXD (Received Data)
TXD (Transmitted Data)
DTR (Data Terminal Ready)
GND (Signal Ground)
DSR (Data Set Ready)
RTS (Request To Send)
CTS (Clear To Send)
RI (Ring Indicator)
Parallel Interface
The Parallel interface uses a 25-pin D-sub female connector with the following configuration:
Pin
1
3
5
7
9
11
13
15
17
19
21
23
25
Description
Strobe#
Data 1
Data 3
Data 5
Data 7
Busy
Select
Error#
Select In
Ground
Ground
Ground
Ground
Pin
2
4
6
8
10
12
14
16
18
20
22
24
Description
Data 0
Data 2
Data 4
Data 6
ACK#
Paper Empty
Auto Feed#
Initialize#
Ground
Ground
Ground
Ground
USB Interface
The external USB (Universal Serial Bus) has the following configuration:
Pin
1
2
3
4
Description
USB_VCCA
USBP0USBP0+
GND
27
Internal trackpad Interface
The internal trackpad interface connector has the following configuration:
Pin
1
2
3
4
5
6
7
8
9
Description
EKDA
EMDA
GND
VCC
EKCLK
EMCLK
GND
GND
GND
External Monitor Interface
The external monitor interface uses a 15-pin D-sub female connector with the following
configuration:
Pin
1
2
3
4
5
Description
RED
GREEN
BLUE
NC
GND
Pin
6
7
8
9
10
Description
GND
GND
GND
NC
GND
Pin
11
12
13
14
15
Description
NC
DDCDA
HSYNC
VSYNC
DDCLK
RGB Out:
-
Output Impedance : 75 Ohms
RGB peak voltage: 0.7Vpp
External Keyboard/PS2 Mouse Interface
The external keyboard/PS2 mouse interface connector has the following configuration:
Pin
1
2
3
4
5
6
7
8
9
Description
EKDA
EMDK
GND
VCC
EKCLK
EMCLK
GND
GND
GND
28
PCMCIA CardBus Interface
Pin
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A35
A36
A37
A38
A39
Description
CardBus
16Bit Card
GND
GND
GND
GND
A_CAD0
A_D3
A_CCD1#
A_CD1#
A_CAD14
A_D4
A_CAD2
A_D11
A_CAD3
A_D5
A_CAD4
A_D12
GND
GND
A_CAD5
A_D6
A_CAD6
A_D13
A_CAD7
A_D7
RFU
A_D14
A_CC/BE0#
A_CE1#
A_CAD9
A_D15
A_CAD10
GND
A_CAD9
A_A10
A_CAD10
A_CE2#
A_CAD11
A_OE#
A_CVS1
A_VS1#
A_CAD12
A_A11
GND
GND
A_CAD13
A_IORD#
A_CAD14
A_A9
A_CAD15
A_IOWR#
A_CC/BE1#
A_A8
A_CAD16
A_CAD16
GND
GND
A_CPAR
A_A13
RFU
A_A18
A_CPERR#
A_A14
A_CBLOCK#
A_A19
A_CGNT#
A_WE#
A_CINT#
A_CINT#
A_CDEVSEK# A_CDEVSEL#
A_VCC
A_VCC
A_VCC
A_VCC
A_VPP1
A_VPP1
Pin
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49
A50
A51
A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62
A63
A64
A65
A66
A67
A68
A69
A70
A71
A72
A73
A74
A75
A76
A77
29
Description
CardBus
16Bit Card
A_VPP2
A_VPP2
A_CCLK
A_A16
GND
GND
A_CTRDY#
A_A22
A_CIRDY#
A_A15
A_CFRAME#
A_A23
A_CC/BE2#
A_A12
A_CAD17
A_A24
A_CAD18
A_A7
GND
GND
A_CAD19
A_A25
A_CAD20
A_A6
A_CVS2
A_VS2#
A_CAD21
A_A5
A_CRST
A_RESET
A_CAD22
A_A4
A_CSERR#
A_WAIT#
GND
GND
A_CAD23
A_A3
A_CREQ#
A_INPACK
A_CAD24
A_A2
A_CC/BE3#
A_REG#
A_CAD25
A_A1
A_CAUDIO#
A_BVD2
A_CAD26
A_A0
GND
GND
A_CSTSCHG
A_BVD1
A_CAD27
A_D0
A_CAD28
A_D8
A_CAD29
A_D1
A_CAD30
A_D9
RFU
A_D2
A_CAD31
A_D10
GND
GND
A_CCLKRUN#
A_WP
A_CCD2#
A_CD2#
GND
GND
GND
GND
Internal PCI Interface
(For optional modem or LAN card)
Pin
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
Description
GND
GND
GND
AUXBR
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
CBE#1
PAR
VCC
SERR#
PERR#
STOP#
DEVSEL#
TRDY#
IRDY#
FRAME#
CBE#2
GND
VCC3
VCC3
VCC3
GND
GND
VCC
VCC
VCC
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
Pin
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
30
Description
GND
GND
GND
MIC_MODM
AD6
AD5
AD7
CBE#0
AD0
AD1
AD2
AD3
AD4
MODEMRI
VCC
IDSEL
CBE#3
PME#
INTA#
RESET#
PCLKMODM
GNT#4
REQ#4
GND
VCC3
VCC3
VCC3
GND
GND
VCC
VCC
VCC
AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
LCD Interface
( For XGA TFT)
Pin
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
Description
INVVCC
ENABL
GND
FLM
GND
CONTADJ
PANELID0
GND
LCDVDD
TXOUTV0GND
TXOUTV1+
TXOUTV2GND
TXCLKV+
Pin
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
31
Description
INVVCC
BRIGADJ
LP
DISPOFF#
CL2
LDE
PANELID1
LCDVDD
GND
TXOUTV0+
TXOUTV1GND
TXOUTV2+
TXCLKVGND
Power
Application:
This specification shall apply to the power module to be operated in the Notebook 5100S/
5500S system. The power board provides the following voltages for Intel P!!! CPUs:
1.35V &1.6V for CPU VCC_CORE
Input Power:
a. Adapter: +20.0V Constant Voltage Mode (65W ).
b. Battery: LI-ION Smart Battery ( 47.36W ).
c. Input Rating
ITEM
MIN
TYP
MAX
UNIT
REMARK
Input Voltage
12
20
21
V
--
Output Power:
DC OUTPUT
CURRENT
VOLTAGE
REGULATION
RIPPLE &
NOISE
Max
Surge
Vcc / 5V
±5%
150mV
4.0A
6.0A
Vcc3 / 3.3V
±5%
150mV
4.0A
6.0A
12V / 12V
±5%
200mV
0.22A
0.35A
Vcc_Core / 1.6V
±5%
150mV
15A
17A
VccT / 1.5V
±5%
150mV
1.5A
2.0A
Vcc1.8 / 1.8V
±5%
100mV
2.0A
3.0A
Vdd1.8 / 1.8V
±5%
100mV
70mA
80mA
VC / 5V
±5%
100mV
70mA
80mA
Note:
The surge currents of all outputs can keep 10 seconds maximum .
The output ripple/noise requirements should be met throughout the load range and under
the input voltage from 12Vdc to 20Vdc. Measurements should be made with an
oscilloscope with the 20Mhz bandwidth output bypassed with a connector with a
0.1uF ceramic capacitor and a 10 uF electrolytic capacitor to simulate loading.
The system is full run under auto test.
32
Output Protection Requirements:
a. Over current protection:
Vcc OCP —7A max
Vcc3 OCP — 7A max
Vcc_Core OCP — 20A max
VccT OCP — 3.5A max
Vcc1.8 OCP — 3.5A max
b. Output Short Protection : Vcc,Vcc3,12V,Vcc_Core,VccT,Vcc1.8
The power supply shall not be damaged by short form the output to return .
Battery Protection:
The discharge circuits should be SHUTDOWN when the voltage for the Li-ion battery
voltage is down to 12V(+/-0.2V)
Vcc_Core VID setting :
No
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
VID4
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
VID3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
VID2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
33
VID1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
VID0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
COREVCC
2.0V
1.95V
1.90V
1.85V
1.80V
1.75V
1.70V
1.65V
1.60V
1.55V
1.50V
1.45V
1.40V
1.35V
1.30V
1.25V
Interface Specifications
JP1. Battery Connector (Off The Mother Board)
PIN
1~8
9
SIGNAL
B+
BAT-DATA
10
11
12
13~20
TEMP
BAT-CLK
CELL
GND
CNA1. DC/DC Connector (Off The Power Board)
PIN
1~6
7
8~13
14 ~ 21
22~27
28~33
34~39
40~42
SIGNAL
B+
VR_ON
GND
VCC3
GND
VCC
GND
12V
CN4. Battery Connector(Off The Mother Board)
PIN
1,2
3
4
5
6
7,8
SIGNAL
GND
CELL
TEMP
BAT_CLK
BAT_DATA
BAT+
34
Charge board
Battery parameters
The BIOS will download all battery parameters to the smart charger before POST. The
battery parameters are as follows:
LI-ION CHG V
LI-ION DESINH V
(0x39) : Li-ion CV = (0x39) /3 *4
(0x3A) : ERROR = (0X3A) * 1.27 +2.3V
LI-ION EDVI
LI-ION EDVF
(0x3B) : EDVI = (0x3B) /3 *4
(0x3C) : EDVI = (0x3C) /3 *4
Default EDVI and EDVF and Constant Voltage:
Battery
Item
Voltage
LI-ION
Constant Voltage
16.8V ± 0.2V
EDVI
11.4V ± 0.2V
EDVF
10.8V ± 0.2V
LI-ION
Charge current and Charge Time:
ITEM
MIN
TYPE
MAX
UNIT
REMARK
Input Voltage
19
20
21
V
From AC Adapter
0.6
0.8
1.0
A
When System is on
1.3
1.5
1.7
A
When System is off
-
-
450
min
When System is on
-
-
230
min
When System is off
Charge Current
LI-Ion
Charge Time
Total Power Current ( IRQ ) :
Total Power Current
3A ±0.2A
*Total Power = System Power + Charge Power
35
Hardware ShutDown:
Battery Type
Max Voltage
LI-ION
12V ± 0.2V
Max Voltage Protection :
Battery Type
Max Voltage
LI-ION
17.1V ± 0.2V
O.P.T ( Protect for environment temperature ) :
For a LI-ION battery charger start, if the temperature exceeds
50°C or falls below 5°C, the charger shall not charge and the charge indicator will
show no charger current.
If the environment temperature is below 50°C the charger shall auto reCharge. The thermistor of the battery pack will detect the environment temperature.
O.P.T
5 ~ 50°C
The trickle charge:
If the LI-ION battery voltage is below 3V/cell,the charge controller will enter the trickle
charge mode. The trickle charge current is about 200~300 mA (trickle charge time is 60
minutes max).
Charger full :
When the battery is fully charged, the charge controller will send a full signal and the gas
guage indicator will display capacity greater than 90%.
For the smart battery, the charge controller full signal and the gas gauge are different.
It’s normal for the gas gauge indicator to show a 90% charge even if the battery has just
been fully charged and is actually above a 90% capacity.
Battery empty shutdown :
When the battery is empty, the charge controller will send a shut down signal within 3
seconds. The shut down signal is 3.3V.
36
Alert :
The charge controller will send an alert signal, when the adapter is plugged in or out, when
the battery is inserted or removed, or when the battery is low.
During the alert signal, the charge controller will send the low signal pulse three times
within a 10 second period.
Battery low alarm :
When the battery is low, the charge controller will send out the battery low alert. If KBC or
the OS doesn’t respond, the battery will go to the low signal in 2 seconds.
The battery low alarm is decided by the “alarm time”, the battery voltage is for reference
only. Therefore the battery low alarm defines the alarm time not the voltage.
Alarm time :
-
Alarm time is defined from the time the battery low beep starts until the computer
shuts down.
-
The alarm time of a dumb battery must be less than 15 minutes and greater than 3
minutes for ZD Mark3.0.
-
The alarm time of a smart battery is defined by either the remaining capacitor
alarm or the remaining time alarm.
The battery is not normal if the green LED blinks while the battery is charging.
You must shutdown the computer, unplug the adapter and remove the battery. After the
battery cools down, reinstall the battery and plug in the adapter to recharge the battery.
Smart battery :
The charge current of the smart battery depends on BQ2040 data.
The full charge of a smart battery depends on the remaining capacitor alarm or remaining
time alarm .
The smart charger always reads the temperature of the smart battery to detect Max. T and
OPT.
BIOS issue
After re-flashing the Bios, don’t use the Ctrl+Alt+Del key to restart your computer. Instead you should press the power button to shutdown the computer and then turn on the
computer, this will reload the default BIOS.
While using Ctrl+Alt+Del keys (warm start), KBC doesn’t send out battery setup parameters to charge controller IC.
37
Inverter board
APPLICATION :
This specification refers to an inverter which operates a cold cathode fluorescent lamp for a
liquid-crystal display module.
This inverter is designed for the 12.1” TFT LCD-modules.
ELECTRICAL CHARACTERISTICS:
ITEM
Input Voltage
Input Current
Inrush Current
Lamp Current
Output Voltage
Frequencey
Starting Voltage
BKLO
BRIGADJ
MIN
4.5
5.5
2.5
1000
0
0
TYPE
5
900
6.0
3.0
560
60
-
MAX
5.5
4
6.5
3.5
3.3
2.5
Interface Specification:
J1 ( Connector 6 Pin ) Inverter To M/B Connector
PIN
1
2
3
4
5
6
SIGNAL
B+
B+
GND
GND
BRIGADJ
BKLO
38
UNIT
V/DC
mA/DC
A/AC
mA/AC
mA/AC
V/AC
KHz
V/AC
V/DC
V/DC
REMARKS
at Vin=5V
Less than 1ms
BRIG:2.5V
BRIG:0V
ON = 3.3V
Adapter
Configuration :
3-wire input AC line (line, neutral, FG)
Input characteristics:
Input Voltage:
100 ~ 240 +10%vac,Full range
Input Frequency: 47 ~ 63 Hz
Input Current :
1.6A max @115VAC,at full load.
0.8A max @230VAC,at full load.
Efficiency:
80 % (min) .at full load .
Output characteristics:
Output power: 65W (max)
Output Current:
ITEM
TOLERANCE
OUTPUT CURRENT
Output voltage
(Accuracy)
Min
Max
+20Vdc (main)
+/- 5%
0
3.25A
Regulation:
VOLTAGE
TOLERANCE
REGULATION
+20Vdc (main)
+/- 5 %
19 ~ 21 V
Ripple & Noise :
The power supply shall not exceed 250mVrms on the indicated voltage for 60Hz or 50Hz
ripple, switching frequency ripple and noise dynamic load variations measured with a
20MHz bandwidth. Ripple & noise are measured at the end of output cables to which are
added a 0.1uf ceramic capacitor and a 10uF electrolytic capacitor.
Leakage Current:
0.75mA
Over Voltage Protection :
27V max.(do not test with external DC source).
Shutdown voltage protection:
10V max.
39
Over Current Protection :
The power supply will not be damaged by an over current from the output (measure at 110
Vac input).
OUTPUT VOLTAGE
LOWER
UPPER
+20 to 10.0 Vdc
3.3A
3.8A
Short circuit protection:
A short circuit place at any output will cause no damage.
ESD requirements :
The adapter shall withstand IEC PUB. 801-5 (surge ) level 4 requirements.
EMI / EMC :
The radiated and conducted emissions of this AC adapter complies with the requirements
of the FCC PART 15, CLASS B & EN55022.
SAFETY :
This AC adapter is designed to meet the following standards:
# UL 1950 LISTED
# CUL LEVEL 3
# TUV EN60950
40
Battery Pack
Recharging by AC Power
The battery pack automatically recharges when it is installed into a computer that is connected to an AC power supply. You can still use the computer when it is recharging. To
fully recharge the battery will take several hours and may be slightly longer if the computer is being used while the battery is recharging.
Proper Handling of the Battery Pack
DO NOT disassemble the battery pack under any circumstances.
DO NOT expose the battery to fire or high temperatures, it may explode.
DO NOT connect the metal terminals (+, -) together.
Battery Information
Proper care will improve the performance and extend the life and cycle life of the battery.
Follow these guidelines to get the best use out of the battery.
Power loss
When not in use, a battery will gradually lose its power, this is normal. The rate of power
loss depends on the battery type and is approximately:
0.2% / Day for a Li-Ion Battery
Battery storage
Outside the computer
If you are going to store a battery outside the computer for an extended period you must:
·
·
Charge the battery to at least 40% capacity prior to storage.
Follow steps 1 through 3 approximately every 30 days:
1. Completely recharge the battery.
2. Use the battery until it is fully discharged
3. Recharge the battery to at least 40% capacity.
Inside the computer
If a fully charged battery is stored inside the computer and the battery is not used for more
than 30 days, you must follow these steps:
41
1.
2.
Completely recharge the battery.
Use the battery until it is fully discharged
3.
Recharge the battery to 100% capacity.
(In this case it doesn’t matter whether or not the computer is being used)
Note: An empty battery will become damaged if stored too long and by following
these steps the battery cycle life and the battery life will increase.
Battery Testing
Testing a battery while its temperature remains high could possibly cause inaccurate measurements, therefore we strongly recommend:
·
Waiting 30 minutes before testing a battery that has just been fully charged.
·
Waiting at least 30 minutes before recharging a fully discharged battery.
Note: All battery testing should be done on a fully charged or fully discharged battery.
Battery alarm
The battery alarm is activated by a program and will sound when the battery power is low.
Note: If a fully discharged battery has been charged for less than 3 minutes, this program
will not be activated.
This happens when these 3 steps occur:
1. The computer is being used and the low battery alarm sounds.
2. The AC adapter is connected to charge the battery while the computer continues to be
used.
3. The adapter is unplugged within the first 3 minutes of charging.
After this sequence of steps, the computer will eventually shutdown without the low
battery alarm sounding and you will lose any work you have entered and not saved.
Therefore you should make sure that the AC adapter is firmly plugged into the computer
when charging the battery.
42
Removing the battery pack
1) Turn the computer over.
2) Slide the latch in the direction indicated
.
3) Gently grasp the battery pack on the edge
below the latches and lift it out of the bay
.
Inserting the battery pack
1) Turn the computer over.
2) Place the battery in its bay inserting the side without the latch in first.
3) Push down on the side with the latch until it clicks into place.
43
Battery diagram
44
Component diagrams and part numbers
10
6
8
1
2
13
4
11
4
5
3
7
9
21
17
15
25
22
12 23
14
20
4
31
19
16
22
22
22
18
7
33
22
34
29
35
36
22
28
22
24
26
14
7
30
31
32
45
46
4
6
5
3
13
11
7
16
14
9
15
15
12
2
8
1
15
10
ITEM
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
PART NAM E
DISPLAY FRONT PANEL
LCD 12.1" TFT SANYO TM 121SV-02L07
DISPLAY BACK PANEL
HOOK KNOW
HOOK
SPRING FOR HOOK EXTEN
INVERTER
LCD BRACKET (L)
LCD BRACKET (R)
CA BLE 1H/2H 28AW G 35P 203m m
DISPLAY RUBBER PAD
DISPLAY RUBBER PAD
SCREW
SCREW
SCREW
SCREW
PART NO.
39-51011-01E
50-F1255-S01
39-51S01-02A
42-51081-010
42-510A1-010
38-10R35-021
43-51S0R-010
33-51001-050
33-51001-040
43-51S01-010
47-51021-010
47-51021-020
35-01120-4RO
35-41120-3RA
35-84130-6RA
35-B6130-4RA
47
REM ARK
FOR 12.1" • • • •
12.1" SV
FOR 12.1 SANYO
0.35
FOR LG (S2)12.1
FOR LG (S2)12.1
SANYO
UP
BOTTOM
M 2*4L,P,NI,ICT
M 2*0,4P*3L,B,NI,ICT,NY
M 3*6L,K,BK/0,ICT,NY
M 3*4,K1,BZ,ICT.NY
48
1
22
6
2
7
3
5
21
9
2
3
8
10
5
9
15
2
11
16
8
17
12
4
19
10
7
13
2
14
15
16
17
20
18
2
49
50
VC C T
R 1 91
H8
H 10
H 12
H 14
H 16
J7
J9
J 11
J 13
J 15
K8
K 10
K 12
K 14
K 16
L7
L9
L 11
L 13
L 15
M 8
M 10
M 12
M 14
M 16
N7
N9
N 11
N 13
N 15
P8
P 10
P 12
P 14
P 16
R7
R9
R 11
R 13
R 15
T8
T 10
T 12
T 14
T 16
U7
U9
U 11
U 13
U 15
U4
BP R I#
3 BP R I#
A6
C PU R ST#
3 C PU R ST# R S#0
U1
3 R S#0
A A2
R S#1
3 R S#1
W1
R S#2
3 R S#2
Y1
Z1
T
U2
H TR D Y #
3 H T R D Y# D E FE R #
U3
3 D E F ER #
R 2 44
1 1 0 _ 1 %ED G E C T R L P A A 1 6
1 1 ,1 2 ,2 0 C PU _ S T P#
12 A 20 M #
1 2 IG N N E#
1 2 IN IT #
1 2 IN T R
12 N M I
T P R EQ #
C PU PW R G D
BSEL0
C PU _ S T P#1 1R 2B 5S7E L 1 0
1 2 S M I#
F L U SH #
1 2 ,2 0 ST P C L K#
1 2 G C L _ L O /H I#
1 1 PIC C L K
P IC C LK R1 12 0 H5 C L KC
0 PU
S M I#
F L U SH #
ST P C L K#
G C L _ L O /H I#
AD 10
AC 13
AA10
AB18
AC 19
AB20
V5
AA12
AB15
AB12
AB10
AC 9
AC 11
R2
H C LK C P U
UP IC C LK
M 3
AA18
A 20 M #
IG N N E#
IN IT #
IN T R
NM I
T P R EQ #
C PU PW R G D
BSEL0
BSEL1
S LP #
R 2 42
VC C _ S E N SE 2 4
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
VC C
BP R I#
R ES E T#
R S0#
R S1#
R S2#
R S P#
T R D Y#
D E F ER #
GTL+ Input
GTL+ Output
ED G E C T R L P
A 20 M #
IG N N E#
IN IT #
L IN T 0 /IN T R1.5V CMOS Input
L IN T 1 /N M I
P R EQ #
P W R G O O D<-- 2.5 CMOS Input
BSEL0
BSEL1
S L P#
S M I#
F L U SH #
ST P C L K#
G H I#
BC LK
PIC C L K
1 2 S M I#
S M I#
R 1 58
2 70
S L P#
S L P#
R 2 58
1 .5 K
D
D
D
D
D
D
D
D
EP0#
EP1#
EP2#
EP3#
EP4#
EP5#
EP6#
EP7#
BR EQ 0#
R EQ 0#
R EQ 1#
R EQ 2#
R EQ 3#
R EQ 4#
A D S#
AP0#
AP1#
BP2#
BP3#
B PM 0 #
B PM 1 #
B IN IT #
BN R #
B ER R #
A ER R #
D B S Y#
D R D Y#
H IT #
H IT M #
R P#
L O C K#
P R D Y#
L3
K3
J2
L4
L1
K5
K1
J1
J3
K4
G1
H1
E4
F1
F4
F2
E1
C4
D3
D1
E2
D5
D4
C3
C1
B3
A3
B2
C2
A4
A5
B4
C5
H A#3
H A#4
H A#5
H A#6
H A#7
H A#8
H A#9
H A# 10
H A# 11
H A# 12
H A# 13
H A# 14
H A# 15
H A# 16
H A# 17
H A# 18
H A# 19
H A# 20
H A# 21
H A# 22
H A# 23
H A# 24
H A# 25
H A# 26
H A# 27
H A# 28
H A# 29
H A# 30
H A# 31
Z2
Z3
Z4
Z5
1 2 IN IT #
IN IT #
R 1 60
1K
1 2 IN T R
IN T R
R 2 26
1 .5 K
12 N M I
NM I
R 2 25
1 .5 K
1 2 IG N N E#
IG N N E#
R 1 66
1 .5 K
12 A 20 M #
A 20 M #
R 1 57
1 .5 K
IER R #
R 1 56
1 .5 K
C PU R ST#
R 2 92
5 6 .2 _ 1 %
V 20
T 21
U 21
R 21
V 18
P 21
P 20
U 19
Z6
Z7
Z8
Z9
Z 10
Z 11
Z 12
Z 13
C6
T2
V4
V2
W3
W5
BR EQ 0#
H R E Q #0
H R E Q #1
H R E Q #2
H R E Q #3
H R E Q #4
A B2
A B1
Y2
AA21
Y 21
W 21
W 19
V 21
T4
E6
A A1
A A3
T1
V1
Y4
W2
R1
A D S#
Z 14
Z 15
Z 16
Z 17
Z 18
Z 19
Z 20
BN R #
Z 21
Z 22
D B S Y#
D R D Y#
H IT #
H IT M #
Z 23
H L O C K#
W 20
IER R #
3 C PU R ST#
.1 U
1 .5 K
T P R EQ #
R 2 27
1 .5 K
T TC K
R 2 24
1K
TT M S
TT M S
R 1 80
1K
TD I
TD I
R 1 79
1 50
TD O
TD O
R 1 81
1 50
BSEL0
R 1 64
1 0K
R 1 89
R
11 BSEL1
BSEL1
R 1 88
0
T R S T#
T R S T#
R 2 38
1K
TC K
R 2 36
1K( R )
U P IC D 0
R 2 41
1K
U P IC D 1
R 2 43
1K
G C L _ L O /H I#
R 3 27
1 .5 K
R 6 82
R
TC K
U P IC D 1
BR EQ 0# 3
H R E Q #0 3
H R E Q #1 3
H R E Q #2 3
H R E Q #3 3
H R E Q #4 3
1 2 G C L _ L O /H I#
VC C 3
VC C T
A D S# 3
T
T
T
T
T
T
T
R 1 93
1K
R 1 94
Z 6 29
R 1 82
BN R # 3
D
D
H
H
4 .7 K
Q 45
E
C
2 N 3 9 04
1 .5 K
R 1 83
T
T
F ER R #
P WR G O OD
12 PW R G O O D
R 1 34
T
H L O C K# 3
PW R O KK
1 2 PW R O KK
JTAG INTERFACE
THERMAL INTERFACE
AA15
TH E R M D A A B16
TH ER M D C
R 7 20
.1 U ( R )
U 51
VC C
1K( R )
TD I
TD O
TC K
TM S
T R S T#
T P R D Y#
T P R EQ #
3 C PU R ST#
4
OUT
TD I
TD O
TC K
R 7 21
TM S
R 7 22
T R S T#
T P R D Y#
T P R EQ #
C PU R ST#
IN 1
GND
1
D BR E S E T #
2
PW R O K
PW R O K 12
.1 U ( R )
47
47
´ ¯ ⁄
3
0
1 .5 K
C PU PW R G D
V2 .5
VC C T
T TC K
TT M S
1
2
3
4
5
6
7
8
9
10
11
12
C N 29
VC C T
TD I
TD O
TC K
TM S
T R S T#
P R D Y#
P R EQ #
C PU R ST#
GND
D BR E S E T #
GND
C o n n. 1 2P( R )
„q ‚ £ CO.
CL E V O CO .
CLEVO
T itl e
TC 7 S 0 8F ( R )
R 7 28
C PU PW R G D
R 3 26
1 0K ( R )
IN 2
PW R O KK
A
C 6 76
T H E R M D P2
T H E R M D N2
VC C 3
5
0(R )
D 48
C
F0 1 J 2E
TC K
TD I
TD O
TM S
T R S T#
C 6 77
F ER R # 1 2
0(R )
C P U _ F ER R # C P U _ F ER R #
B S Y# 3
R D Y# 3
IT # 3
IT M # 3
T P R D Y#
TH E R M D P
TH ER M D N
T P R EQ #
T TC K
U P IC D 0
TC K
TD I
TD O
TM S
T R S T#
1 2 PW R O KK
F L U SH #
R 1 59
BSEL0
T
T
T
T
T
T
T
T
VC C T
F L U SH #
T
T
T
T
AA11
TC K A D 13
T D I AC 15
T D O AD 14
T M S AA14
T R S T#
C o p p e r m in e
6 80
C?
B
A 3#
A 4#
A 5#
A 6#
A 7#
A 8#
A 9#
A1 0#
A1 1#
A1 2#
A1 3#
A1 4#
A1 5#
A1 6#
A1 7#
A1 8#
A1 9#
A2 0#
A2 1#
A2 2#
A2 3#
A2 4#
A2 5#
A2 6#
A2 7#
A2 8#
A2 9#
A3 0#
A3 1#
A3 2#
A3 3#
A3 4#
A3 5#
T P R D Y#
IER R #
C P U _ F ER R # IER R #
C P U _ F ER R #
U P IC D 0
U P IC D 0
U P IC D 1
U P IC D 1
1.5V Open Drain I/O
R 1 63
H A # [3 ..3 1 ] H A # [3 ..3 1 ] 3
AD 9
IER R # A C 1 2
F ER R #
AB21
P IC D 0 Y 2 0
P IC D 1
1.5V Open Drain Output
ST P C L K#
U 4 0A
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
PU
0#
1#
2#
3#
4#
5#
6#
7#
8#
9#
1 0#
1 1#
1 2#
1 3#
1 4#
1 5#
1 6#
1 7#
1 8#
1 9#
2 0#
2 1#
2 2#
2 3#
2 4#
2 5#
2 6#
2 7#
2 8#
2 9#
3 0#
3 1#
3 2#
3 3#
3 4#
3 5#
3 6#
3 7#
3 8#
3 9#
4 0#
4 1#
4 2#
4 3#
4 4#
4 5#
4 6#
4 7#
4 8#
4 9#
5 0#
5 1#
5 2#
5 3#
5 4#
5 5#
5 6#
5 7#
5 8#
5 9#
6 0#
6 1#
6 2#
6 3#
LOW POWER GTL+ INTERFACE
51
HD #9
H D #10
H D #11
H D #12
H D #13
H D #14
H D #15
H D #16
H D #17
H D #18
H D #19
H D #20
H D #21
H D #22
H D #23
H D #24
H D #25
H D #26
H D #27
H D #28
H D #29
H D #30
H D #31
H D #32
H D #33
H D #34
H D #35
H D #36
H D #37
H D #38
H D #39
H D #40
H D #41
H D #42
H D #43
H D #44
H D #45
H D #46
H D #47
H D #48
H D #49
H D #50
H D #51
H D #52
H D #53
H D #54
H D #55
H D #56
H D #57
H D #58
H D #59
H D #60
H D #61
H D #62
H D #63
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
LOW POWER GTL+ DATA BUS
H D #8
D 10
D 11
C7
C8
B9
A9
C 10
B 11
C 12
B 13
A 14
B 12
E 12
B 16
A 13
D 13
D 15
D 12
B 14
E 14
C 13
A 19
B 17
A 18
C 17
D 17
C 18
B 19
D 18
B 20
A 20
B 21
D 19
C 21
E 18
C 20
F 19
D 20
D 21
H 18
F 18
J 18
F 21
E 20
H 19
E 21
J 20
H 21
L 18
G 20
P 18
G 21
K 18
K 21
M 18
L 21
R 19
K 19
T 20
J 21
L 20
M 19
U 18
R 18
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
HD #0
HD #1
HD #2
HD #3
HD #4
HD #5
HD #6
HD #7
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
HD #[0..63]
0 VC C _ S E N SE
1 2 ,2 0 ST P C L K#
A2
A7
A8
A 12
A 21
B1
B5
B6
B7
B8
B 10
B 15
B 18
C9
C 11
C 15
C 16
C 19
D2
D6
D7
D9
E3
E7
E8
E9
E 10
E 11
E 13
E 19
F3
F6
F7
F8
F9
F 10
F 11
F 12
F 13
F 14
F 15
F 16
F 20
G3
G 19
H2
H7
H9
H 11
H 13
3 H D # [0 ..6 3 ]
Schematic Drawings
V C C _C ORE
uPGA2 PIII-1
S iz e
D oc um ent Num ber
C u s to m
Rev
A
71-51S00-D02
D ate: Monday, S eptem ber 18, 2000
S heet
1
of
29
52
.1U
.1U
4.7U
CL KR E F
R S VD
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
6 6 M Hz
0
1
1 0 0 M Hz
RE S E RV E D
1
1 3 3 M Hz
2K _1% (08 05)
L5 1
V CC _C O RE
P LL 1
Z29 0 R 14 6
1
V C CT
C 26 2 C 27 2 C 25 2 C 24 7 C 16 0 C 18 8 C 26 4 C 27 7 C 20 1 C 20 2 C 21 6 C 22 9 C 23 0 C 22 8 C 22 7 C 21 5
2 2U /10 V_ 1 21 0
A D1 7
Y5
N5
A D2 0
H4
A A1 7
G4
T ES T HI
T ES T LO 1
T ES T LO 2
T E ST P 1
T E ST P 2
T E ST P 3
T E ST P 4
R 19 0
R 14 8
R 32 8
R 24 0
R 13 5
R 20 4
R 32 9
10K
1K
1K
R
R
R
R
A D2
A D3
A D4
A C4
AB4
VID 0
VID 1
VID 2
VID 3
VID 4
A D1 9
R T T IMP E DP
VID 0
VID 1
VID 2
VID 3
VID 4
R 23 9
15 ,2 4
15 ,2 4
15 ,2 4
15 ,2 4
15 ,2 4
56.2_ 1%
V C CT
10U
P2
A B1 9 Z3 1
U2 0
V3
V1 9
W4
W18
Y3
Y9
Y1 0
Y1 1
Y1 2
Y1 3
Y1 4
Y1 5
Y1 6
Y1 9
AA4
A A1 3
A A2 0
AB3
AB5
AB9
A B1 1
A B1 3
A B1 4
A B1 7
A C1
A C2
A C5
A C1 0
A C1 4
A C1 6
A C1 8
A C2 1
A D1
A D5
A D1 6
A D2 1
CL KR E F
T
R 19 2
0
R 14 4
2K _1% (08 05)
R 14 5
2K _1% (08 05)
VS S_ S E N SE
.1U
.1U
.1U
.1U
.1U
.1U
4 70 P
.1U
.1U
.1U
.1U
.1U
.1U
.1U
VS S_ S E N SE
V C CT
+
.1U
.1U
.1U
.1U
.1U
1
1
1
+
C 28 3
470 U/4 V
.1U
4 70
V C CT
+
C 66 2
C 24 8
220 U/6 .3V 470 U/4 V
.1U
+
+
C 24 5 C 22 4 C 21 7 C 20 3 C 17 7
C 21 8
C 26 5
220 U/6 .3V 470 U/4 V
4.7U
.1U
.1U
.1U
1
.1U
+
C 18 1
1 00 U /10V
4 70 P
C
1 00
V 2.5
V 2.5
V C CT
C 18 7 C 17 5 C 18 4 C 18 6 C 18 5 C 24 4 C 24 3 C 24 2 C 24 1 C 16 9 C 19 6 C 23 1 C 17 6 C 22 3 C 28 9 C 28 4
C
.1U
4.
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
24
VC C 3
C P U V R M S E LE C T TA B L E F O R u P G A 2 P 3
V ID[4 :0 ] VCC_Core
.1U
2
2K _1% (08 05)
.1U
10U
2
1K _1% (08 05)
.1U
10U
V CC _C O RE
R 18 7
C 16 8
10U
C 20 0 C 19 9 C 19 7 C 19 8 C 21 4 C 22 6 C 22 5 C 21 2 C 22 2 C 28 8 C 23 3 C 27 3 C 26 3 C 25 3 C 21 3 C 27 6 C 32
R 20 3
C 26 1
10U
2
CMOS R E F
10U
V CC _C O RE
.1U
AA9
A D1 8
10U
2
P LL 2
10U
C opp erm in e
F R E Q UE NC Y
0
1
+
CMOS R E F
CMOS R E F
BS EL0
0
1
R 14 2
1
R T T IMP E DP
.1U
2
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
VID 0
VID 1
VID 2
VID 3
VID 4
.1U
V ID[4 :0 ] VCC_Core
C 25 7
VC C 3
R 70 5
0_ 0 80 5
R 70 6
R_ 080 5
.1U
11111
NO CPU
01111
NO CPU
11110
0.925V
01110
1.30V
11101
0.950V
01101
1.35V
1 T H ER MDP
11100
0.975V
01100
1.40V
1 T H ER MDN
11011
1.000V
01011
1.45V
11010
1.025V
01010
1.50V
11001
1.050V
01001
1.55V
11000
1.075V
01000
1.60V
10111
1.100V
00111
1.65V
10110
1.125V
00110
1.70V
10101
1.150V
00101
1.75V
10100
1.175V
00100
1.80V
10011
1.200V
00011
1.85V
10011
1.225V
00010
1.90V
10001
1.250V
00001
1.95V
10000
1.275V
00000
2.00V
20
m ils
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
T ES T HI
T ES T LO 1
T ES T LO 2
TE S TP
TE S TP
TE S TP
TE S TP
.1U
4.7U H(08 05)
C 17 9
M2
1K _1% (08 05)
C 17 8 C 18 0 C 23 2 C 24 0 C 24 6 C 23 9 C 16 7
.1U
V C CT
Z69 3
R8
R1 0
R1 2
R1 4
R1 6
R2 0
T3
T5
T7
T9
T1 1
T1 3
T1 5
T1 8
T1 9
U8
U1 0
U1 2
U1 4
U1 6
P LL 2
L2
GT LR E F
1
V CC _C O RE
P LL 1
R 14 3
E5
E1 6
E1 7
F5
F1 7
U5
Y1 7
Y1 8
2
0
VR E F
VR E F
VR E F
VR E F
VR E F
VR E F
VR E F
VR E F
1
R 73 7
BS EL1
U 40B
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
G ND
Z73 0
R
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V CC T /C PU V CC
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
V C CT
H1 5
H2 0
J4
J8
J1 0
J1 2
J1 4
J1 6
J1 9
K2
K7
K9
K1 1
K1 3
K1 5
K2 0
L5
L8
L1 0
L1 2
L1 4
L1 6
L1 9
M7
M9
M1 1
M1 3
M1 5
M2 0
N2
N3
N4
N8
N1 0
N1 2
N1 4
N1 6
N1 8
N1 9
N2 0
P5
P7
P9
P1 1
P1 3
P1 5
P1 9
R3
R4
R5
R 73 6
G6
G7
G8
G9
G1 0
G1 1
G1 2
G1 3
G1 4
G1 5
G1 6
G1 7
H6
H1 7
J6
J1 7
K6
K1 7
L6
L1 7
M6
M1 7
N6
N1 7
P1
P6
P1 7
R6
R1 7
T6
T1 7
U6
U1 7
V6
V7
V8
V9
V1 0
V1 1
V1 2
V1 3
V1 4
V1 5
V1 6
V1 7
W6
W7
W8
W9
W10
W11
W12
W13
W14
W15
W16
W17
Y6
Y7
Y8
AA6
AA7
AA8
AB6
AB7
AB8
A C6
A C7
A C8
A D6
A D7
A D8
2
V C CT
0
A1 5
A1 6
A1 7
C1 4
D8
D1 4
D1 6
E1 5
G2
G5
G1 8
H3
H5
J5
M4
M5
P3
P4
AA5
A A1 9
A C3
A C1 7
A C2 0
A D1 5
F R E Q U E N C Y S E L E C T TA B L E
C 25 6
U3 5
2
V CC
S TB Y #
SMBD A T A
22 0 0P
1 2 T AL ER T #
3
4
T AL ER T #
11
7
8
D XP
S MBC LK
D XN
AD D 1
AD D 0
AL ER T #
N C/C RIT 1
N C/C RIT 0
N C /OS #
NC
NC
G ND
G ND
MA X 16 1 7
15
R 21 7
R 22 8
R 22 9
4.7K
4.7K
4.7K
Z29 3
12
S DA _A T F 2 3
14
S C L_A T F 2 3
6
10
Z2 5
Z2 6
1
5
9
13
16
Z2 7
Z2 8
VC C 3
20 m i l s R 20 7
R 20 8
Z2 9
Z3 0
1 0K _ 080 5(R)
10K (R)
OS #
OS #
T
T
R 23 0
R 21 4
4.7K (R)
4.7K (R)
´ ¯ ⁄
„q
‚£
C LE VO C O .
CLEVO
CO.
T itle
uPGA 2 PIII-2
Size
Docum ent Num ber
Cu s tom
Date:
71-51S00-D02
Monday, Sept em ber 18, 2000
Sheet
2
of
VCCT
M DD[ 0. . 63]
R1 3 2 C1 5 5
7 5_1%
.001 U
C3 8 1
R3 9 7
5 6 . 2 _1 %
1 RS # 2
1 RS # 1
1 RS # 0
.1 U
1
V 29
T 29
R 26
P 25
G 27
R 29
G 28
RS#2
RS#1
RS#0
V 26
R 25
U 29
HIT M#
HI T #
DRDY #
DBS Y #
BNR#
U 26
R 24
U 28
T 27
U 27
P 28
HREQ#4
HREQ#3
HREQ#2
HREQ#1
HREQ#0
R 27
T 26
T 28
R 28
P 27
ADS#
ADS#
1 HI T M #
1 H IT #
1 DR DY #
1 DB S Y #
1 B NR #
VS SQA
VS SQB
53
HA # [ 3.. 3 1 ]
1 HA#[ 3. . 31]
R 92
V CC 3
0 (0 8 05 )
HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3
M 24
H 26
G 29
J 26
H 29
H 27
K 27
H 28
J 29
J 27
K 26
J 28
K 28
L 26
L 27
L 28
K 29
M 25
M 26
M 27
L 29
N 25
N 28
M 28
M 29
N 29
N 26
P 24
N 27
CPUAV DD
V 27
C1 1 7
C1 3 2 C1 3 3 C3 7 9
10 U
.1 U
T 25
W 28
W 27
Y 29
Y 27
Y 26
AA 28
AA 26
AB 28
AB 26
AC 29
AC 27
AC 25
AD 28
AD 27
Y 25
AG 22
AJ 22
AF 21
AH 21
AF 20
AH 20
AJ 20
AG 19
AJ 19
AF 18
AH 18
AF 17
AG 17
AJ 17
AF 16
AH 16
T 24
W 29
U 25
W 26
Y 28
V 25
AA 29
AA 27
AB 29
AB 27
V 24
AC 28
AC 26
AD 29
W 25
AD 26
AF 22
AH 22
AE 23
AG 21
AJ 21
AG 20
AE 22
AF 19
AH 19
AE 18
AG 18
AJ 18
AD 20
AH 17
AE 21
AG 16
CS B # [5 ]
CS B # [4 ]
CS B # [3 ]
CS B # [2 ]
CS B # [1 ]
CS B # [0 ]
R S # [2]
R S # [1]
R S # [0]
A DS #
HI T M #
H IT #
DR DY #
DB S Y #
B NR #
H RE Q# [4 ]
H RE Q# [3 ]
H RE Q# [2 ]
H RE Q# [1 ]
H RE Q# [0 ]
M A [ 1 4]
M A [ 1 3]
M A [ 1 2]
M A [ 1 1]
M A [ 1 0]
MA [9 ]
MA [8 ]
MA [7 ]
MA [6 ]
MA [5 ]
MA [4 ]
MA [3 ]
MA [2 ]
MA [1 ]
MA [0 ]
SiS630S-1
H A # [ 31 ]
H A # [ 30 ]
H A # [ 29 ]
H A # [ 28 ]
H A # [ 27 ]
H A # [ 26 ]
H A # [ 25 ]
H A # [ 24 ]
H A # [ 23 ]
H A # [ 22 ]
H A # [ 21 ]
H A # [ 20 ]
H A # [ 19 ]
H A # [ 18 ]
H A # [ 17 ]
H A # [ 16 ]
H A # [ 15 ]
H A # [ 14 ]
H A # [ 13 ]
H A # [ 12 ]
H A # [ 11 ]
H A # [ 10 ]
H A # [9]
H A # [8]
H A # [7]
H A # [6]
H A # [5]
H A # [4]
H A # [3]
D QM[7 ]
D QM[6 ]
D QM[5 ]
D QM[4 ]
D QM[3 ]
D QM[2 ]
D QM[1 ]
D QM[0 ]
WE#
SR AS #
SC AS #
S D CL K
CK E
HO ST Interface
S DA V D D
AE 24
AG 24
AF 24
AJ 25
AH 25
AG 25
Z 32
Z 33
RA S A # 3
RA S A # 2
RA S A # 1
RA S A # 0
AF 28
AF 29
AA 25
AE 25
AE 26
AE 27
Z 34
Z 35
Z 36
Z 37
Z 38
Z 39
AB 25
AF 27
AF 26
AG 29
AG 28
AG 27
AH 28
AB 24
AH 27
AD 24
AJ 27
AG 26
AH 26
AJ 26
AF 25
MAA 14
MAA 13
MAA 12
MAA 11
MAA 10
MAA 9
MAA 8
MAA 7
MAA 6
MAA 5
MAA 4
MAA 3
MAA 2
MAA 1
MAA 0
Y 24
AE 28
AF 23
AG 23
AD 25
AE 29
AJ 24
AD 22
DQ MA 7
DQ MA 6
DQ MA 5
DQ MA 4
DQ MA 3
DQ MA 2
DQ MA 1
DQ MA 0
AH 23
W EA #
AH 24
AJ 23
S RA S A #
S CA S A #
AJ 16
6 3 0 S D CL K
E9
CK E
AE 19
SDAV DD
CP UA V D D
4 7 0 P V 28
CP UA V S S
E 21
A 19
C 19
B 20
B 21
B 19
A 21
A 20
D 19
E 20
D 20
B 22
C 22
C 20
A 22
D 21
A 23
C 21
B 23
C 23
A 25
E 22
D 22
D 24
D 23
C 25
B 25
C 24
E 25
F 22
D 25
E 23
B 26
E 24
C 26
A 26
A 27
D 26
B 27
C 27
B 28
F 24
C 28
D 28
H 24
C 29
E 26
D 27
J 25
E 28
D 29
E 27
H 25
K 24
F 25
F 27
E 29
F 26
L 25
K 25
F 29
F 28
G 26
G 25
.0 1 U
P 29
C P U CL K
HL O CK #
DE FE R #
H T R DY #
CP UR S T #
B P RI #
BR EQ0#
H RE Q# [ 0 . .4 ]
1 H RE Q# [ 0 . .4 ]
U2 5 A
CS A # [5 ]
CS A # [4 ]
CS A # [3 ]
CS A # [2 ]
CS A # [1 ]
CS A # [0 ]
M e mory Inte rface
H D# 6 3
H D# 6 2
H D# 6 1
H D# 6 0
H D# 5 9
H D# 5 8
H D# 5 7
H D# 5 6
H D# 5 5
H D# 5 4
H D# 5 3
H D# 5 2
H D# 5 1
H D# 5 0
H D# 4 9
H D# 4 8
H D# 4 7
H D# 4 6
H D# 4 5
H D# 4 4
H D# 4 3
H D# 4 2
H D# 4 1
H D# 4 0
H D# 3 9
H D# 3 8
H D# 3 7
H D# 3 6
H D# 3 5
H D# 3 4
H D# 3 3
H D# 3 2
H D# 3 1
H D# 3 0
H D# 2 9
H D# 2 8
H D# 2 7
H D# 2 6
H D# 2 5
H D# 2 4
H D# 2 3
H D# 2 2
H D# 2 1
H D# 2 0
H D# 1 9
H D# 1 8
H D# 1 7
H D# 1 6
H D# 1 5
H D# 1 4
H D# 1 3
H D# 1 2
H D# 1 1
H D# 1 0
HD # 9
HD # 8
HD # 7
HD # 6
HD # 5
HD # 4
HD # 3
HD # 2
HD # 1
HD # 0
VCCT
1 1 6 3 0 CL K
1 HL O CK #
1 DE FE R #
1 H T R DY #
1 CP UR S T #
1 B P RI #
1 BR EQ0#
630CLK
HLO CK#
DE FER#
HT RDY #
CPURS T #
BPRI#
BREQ0#
4,7,11
MD 6 3
MD 6 2
MD 6 1
MD 6 0
MD 5 9
MD 5 8
MD 5 7
MD 5 6
MD 5 5
MD 5 4
MD 5 3
MD 5 2
MD 5 1
MD 5 0
MD 4 9
MD 4 8
MD 4 7
MD 4 6
MD 4 5
MD 4 4
MD 4 3
MD 4 2
MD 4 1
MD 4 0
MD 3 9
MD 3 8
MD 3 7
MD 3 6
MD 3 5
MD 3 4
MD 3 3
MD 3 2
MD 3 1
MD 3 0
MD 2 9
MD 2 8
MD 2 7
MD 2 6
MD 2 5
MD 2 4
MD 2 3
MD 2 2
MD 2 1
MD 2 0
MD 1 9
MD 1 8
MD 1 7
MD 1 6
MD 1 5
MD 1 4
MD 1 3
MD 1 2
MD 1 1
MD 1 0
MD 9
MD 8
MD 7
MD 6
MD 5
MD 4
MD 3
MD 2
MD 1
MD 0
B 24
P 26
GT L V R E F A
R1 3 1 C1 5 4
1 5 0 _ 1%
.001 U
GT L V R E F B
A 24
G T LRE FA
G T LRE FB
R1 0 8 C1 3 8
1 5 0 _ 1%
.001 U
MD D[ 0 .. 6 3]
M DD0
M DD1
M DD2
M DD3
M DD4
M DD5
M DD6
M DD7
M DD8
M DD9
M DD10
M DD11
M DD12
M DD13
M DD14
M DD15
M DD16
M DD17
M DD18
M DD19
M DD20
M DD21
M DD22
M DD23
M DD24
M DD25
M DD26
M DD27
M DD28
M DD29
M DD30
M DD31
M DD32
M DD33
M DD34
M DD35
M DD36
M DD37
M DD38
M DD39
M DD40
M DD41
M DD42
M DD43
M DD44
M DD45
M DD46
M DD47
M DD48
M DD49
M DD50
M DD51
M DD52
M DD53
M DD54
M DD55
M DD56
M DD57
M DD58
M DD59
M DD60
M DD61
M DD62
M DD63
R1 0 9 C1 3 4
7 5_1%
.001 U
S DA V S S
AE 20
T
T
R A S A # [ 0 . .3 ]
T
T
T
T
T
T
R A S A # [ 0 . .3 ]
MA A [ 0 .. 1 4]
D QMA [ 0. .7 ]
4
MA A [ 0 .. 1 4]
4
D QMA [ 0. .7 ]
4
W EA # 4
S RA S A #
S CA S A #
4
4
6 3 0 S D CL K
11
CK E
R3 3 1
8 .2 K
R4 2 9
0 (0 8 05 )
C3 9 3
C4 0 8
.0 1 U
.1 U
V CC 3
C3 8 0
10 U
V CC 3
S iS 6 30 S
C4 4 7 R4 9 3 R4 8 6 R4 9 5 R4 9
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63
CK E
1 HD # [ 0.. 6 3 ]
CK E
2
4
6
8
H D # [ 0. . 6 3]
V CC 3
V C C1 . 8
11
13
15
17
6 30S V T T
.1 U
U 20
1
19
1G
2G
VC C
1A 1
1A 2
1A 3
1A 4
2A 1
2A 2
2A 3
2A 4
1Y 1
1Y 2
1Y 3
1Y 4
2Y 1
2Y 2
2Y 3
2Y 4
GN D
20
2 70
18
16
14
12
C KE 0
C KE 1
C KE 2
C KE 3
9
7
5
3
Z 40
Z 41
Z 42
Z 43
2 70
2 70
2 70
T
T
T
T
10
7 4 LV C2 4 4
C3 3 4
C4 3 4
C3 8 7
C1 5 6
C3 9 0
C3 8 9
C3 8 8
C3 7 7
C3 7 1
C3 6 3
C4 0 7
C3 9 1
C1 6 5
C3 7 2
C3 9 2
C3 7 8
C1 6 6
C3 7 3
C3 6 4
C3 6 5
10 U
10 U
4 .7 U
4 .7 U
.1 U
.0 1 U
.1 U
.0 1 U
.1 U
.0 1 U
.1 U
.0 1 U
4 .7 U
.1 U
.0 1 U
.1 U
.0 1 U
4 .7 U
.1 U
.0 1 U
CO.
„qCLEVO
‚£
C LEVO C
´ ¯ ⁄
T it le
Si630S HOST/MEMORY INTERFA CE
Size
Docum ent Num ber
Cu s t o m
Dat e:
71- 51S00-D02
Monday, Sept em ber 18, 2000
Sheet
3
V CC3
3 MA A13
3 MA A11
3 MA A10
3 MA A9
3 MA A3
3 MA A6
3 MA A8
3 MA A12
1
2
3
4
MA A13
MA A11
MA A10
MA A9
1
2
3
4
MA A3
MA A6
MA A8
MA A12
1
2
3
4
8
7
6
5
RN45
RN36
8P 4R -10
8P 4R -10
8
7
6
5
8
7
6
5
RN35
8P 4R -10
RN34
8P 4R -10
8
7
6
5
IMA B1
IMA B2
IMA B0
IMA B4
IMA B1
IMA B2
IMA B0
IMA B4
Z45
IMA B5
IMA B7
IMA B14
T
IMA B5 5
IMA B7 5
IMA B14 5
IMA B13
IMA B11
IMA B10
IMA B9
IMA B3
IMA B6
IMA B8
IMA B12
5
5
5
5
MDD[ 0..63]
IMA B13 5
IMA B11 5
IMA B10 5
IMA B9 5
IMA B3 5
IMA B6 5
IMA B8 5
IMA B12 5
MDD36
MDD37
MDD38
MDD39
1
2
3
4
MDD3
MDD2
MDD1
MDD0
1
2
3
4
MDD47
MDD46
MDD45
MDD44
1
2
3
4
MDD15
MDD13
MDD12
1
2
3
4
MDD23
MDD22
MDD21
MDD20
1
2
3
4
MDD52
MDD53
MDD54
MDD55
1
2
3
4
MDD14
3
3
3
3
RA SA #0
RA SA #1
RA SA #2
RA SA #3
3
3
3
3
C K E0
C K E1
C K E2
C K E3
3
3
3
3
DQ MA 2
DQ MA 6
DQ MA 3
DQ MA 7
3
3
3
3
DQ MA 0
DQ MA 1
DQ MA 4
DQ MA 5
54
T
3 S RA S A#
3 S CA S A#
3 W E A#
RA SA #0
RA SA #1
RA SA #2
RA SA #3
1
2
3
4
C K E0
C K E1
C K E2
C K E3
1
2
3
4
DQ MA 2
DQ MA 6
DQ MA 3
DQ MA 7
1
2
3
4
DQ MA 0
DQ MA 1
DQ MA 4
DQ MA 5
1
2
3
4
Z46
S RA S A#
S CA S A#
W E A#
1
2
3
4
8
7
6
5
RN39
RN47
8P 4R -22
8P 4R -10
8
7
6
5
8
7
6
5
RN44
8P 4R -10
RN33
8P 4R -10
8
7
6
5
IRA SA #0
IRA SA #1
IRA SA #2
IRA SA #3
IC K E0
IC K E1
IC K E2
IC K E3
IDQ MA 2
IDQ MA 6
IDQ MA 3
IDQ MA 7
IRA SA #0
IRA SA #1
IRA SA #2
IRA SA #3
IC K E0
IC K E1
IC K E2
IC K E3
5
5
5
5
5
5
5
5
IDQ MA 2
IDQ MA 6
IDQ MA 3
IDQ MA 7
5
5
5
5
IDQ MA 0
IDQ MA 1
IDQ MA 4
IDQ MA 5
IDQ MA 0
IDQ MA 1
IDQ MA 4
IDQ MA 5
5
5
5
5
Z47
IS RA SA #
IS CA SA #
IW E A#
T
IS RA SA # 5
IS CA SA # 5
IW E A# 5
MDD24
MDD25
MDD26
MDD27
8
7
6
5
MDD31
MDD30
MDD29
MDD28
8
7
6
5
Thes e H/W tra ps h av e inte rnal pu ll-d ow n re sis tors.
MDD7
MDD6
MDD5
MDD4
1
2
3
4
MDD32
MDD33
MDD34
MDD35
1
2
3
4
MDD8
MDD9
MDD10
MDD11
1
2
3
4
MDD43
MDD42
MDD41
MDD40
1
2
3
4
MDD48
MDD49
MDD50
MDD51
1
2
3
4
MDD19
MDD18
MDD17
MDD16
1
2
3
4
V CC3
MDD53
R451
4.7 K(R )
R452
4.7 K(R ) MDD54
R428
4.7 K(R ) MDD55
MD 32: P AL /N T S C Sel ec t
1:P A L
0:NT SC
MD33 : E nable V ideo Bridge
MD36 : E nable E xt-P Link
M D38 : Enable VGA Interrupt Function
(For 3D Strereo)
1 : E na ble
0 : Di s able
MD 31 : Quick Start Function
1 : E na ble
0 : Di s able
RN29
8P 4R -10
8
7
6
5
8
7
6
5
RN30
RN31
8P 4R -10
8P 4R -10
8
7
6
5
8
7
6
5
RN32
RN38
8P 4R -10
8P 4R -10
8
7
6
5
8
7
6
5
RN37
RN28
8P 4R -10
8P 4R -10
1
2
3
4
1
2
3
4
R681
4.7 K(R ) MDD38
R410
4.7 K
MDD31
MDD56
MDD57
MDD58
MDD59
8
7
6
5
MDD60
MDD61
MDD62
MDD63
8
7
6
5
RN27
RN41
8P 4R -10
8P 4R -10
8
7
6
5
8
7
6
5
RN40
RN43
8P 4R -10
8P 4R -10
8
7
6
5
8
7
6
5
RN42
RN48
8P 4R -10
8P 4R -10
8
7
6
5
8
7
6
5
RN49
RN15
8P 4R -10
8P 4R -10
1
2
3
4
1
2
3
4
RN16
5 MD[ 0..63]
R138
0(120 6)(R )
R137
0(1 206)
3,7 ,11
V CC3
MD36
MD37
MD38
MD39
U25C
A A11
A A12
A A13
A A18
A A19
A A20
A A22
A B12
A B19
A B21
A B22
H10
H17
J 11
J 12
J9
K8
K9
M9
U21
U22
V 21
V 22
W 21
W 22
Y 21
MD3
MD2
MD1
MD0
MD47
MD46
MD45
MD44
MD15
MD14
MD13
MD12
MD23
MD22
MD21
MD20
MD52
MD53
MD54
MD55
A B10
A B11
A B13
A B18
A B20
H11
H12
H18
J 18
L8
M8
N22
T 22
Y 22
MD24
MD25
MD26
MD27
MD31
MD30
MD29
MD28
V CC 1.8
S DRAM BUS INTE RFACE NE AR SiS 63 0S
MD D[55. .53] : Cl oc k s kew c ont ro l of A GP
input /outpu t loop
(D efaul t: 001)
MDD[ 0..63]
630 SV T T
A A10
A A14
A A17
A A21
A B14
A B17
H13
H16
J 10
J 13
J 16
J 17
J 19
J 20
K 21
L21
L9
M21
N21
N9
T 21
U9
MD7
MD6
MD5
MD4
MD32
MD33
MD34
MD35
MD8
MD9
MD10
MD11
MD43
MD42
MD41
MD40
MD48
MD49
MD50
MD51
H9
V DD3
J8
V DD 1.8
MD19
MD18
MD17
MD16
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
OV DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
P V DD
H20
H21
H22
J 21
J 22
K 22
L22
M22
Z44
MA A5
MA A7
MA A14
8P 4R -10
8
7
6
5
GT LV T T
GT LV T T
GT LV T T
GT LV T T
GT LV T T
GT LV T T
GT LV T T
GT LV T T
T
3 MA A5
3 MA A7
3 MA A14
RN46
A A8
A A9
A B8
A B9
N8
P8
P9
U8
V8
V9
W8
W9
Y8
Y9
H19
MA A1
MA A2
MA A0
MA A4
1
2
3
4
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
GT LV T T
3
3
3
3
MA A1
MA A2
MA A0
MA A4
SiS630S-3
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
V S SD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
IV DD
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
V S SQ
A UX 3.3V
A UX 1.8V
L13
L14
L15
L16
L17
M12
M13
M14
M15
M16
M17
M18
N11
N12
N13
N14
N15
N16
N17
N18
N19
P 14
P 15
P 18
P 19
R14
R15
T 14
T 15
U14
U15
V 14
V 15
W 13
W 14
W 15
P 16
P 17
R16
R17
R18
R19
T 16
T 17
T 18
T 19
U16
U17
U18
U19
V 16
V 17
V 18
W 16
W 17
P 11
P 12
P 13
R11
R12
R13
T 11
T 12
T 13
U11
U12
U13
V 12
V 13
S i S 630S
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
V DD 1.8
V DD3
C362 C349
C340 C348
.1U
.1U
8P 4R -10
4.7 U
4.7 U
´ ¯ ⁄
„q CLEVO
‚£
CCO.
L E V O C O.
T itle
MD[ 0..63]
DA M P ING F O R DRA M INTE RF A CE
Size
Document Number
B
Dat e:
7 1-51 S 0 0-D 02
Monday, Sept ember 18, 2000
Sheet
4
of
V CC 3
MEM_V CC
L2 1
J 32 1 6H S4 8 0
C9 0
C8 8
C8 7
10U
10U
.1U
BBAANNKK00
MEM_V CC
MD [0 ..6 3]
MD [0 ..6 3]
4 MD [0 ..6 3]
BBAANNKK11
MEM_V CC
MEM_V CC
MD [0 ..6 3]
C N11 P IN1 4 5 -> 1 4 8:NC
ME MC L K 1
ME MC L K 2
1 1 ME MC L K 1
1 1 ME MC L K 2
C4 7 6
G ND
MD 0
MD 1
MD 2
MD 3
C4 8 4
R
R
MD 4
MD 5
MD 6
MD 7
G ND
ID Q MA 0
ID Q MA 1
N E A R S ODIMM
4 ID Q MA 0
4 ID Q MA 1
IMA B 0
IMA B 1
IMA B 2
G ND
MD 8
MD 9
MD1 0
MD1 1
4 IMA B 0
4 IMA B 1
4 IMA B 2
MEM_V CC
C5 4 2
C5 4 9
10U
.1U
MD1 2
MD1 3
MD1 4
MD1 5
G ND
T
T
Z4 8
Z4 9
ME MC L K 1
1 1 ME MC L K 1
ISR A SA #
IW EA #
IR A S A# 0
IR A S A# 1
Z5 6
G ND
Z5 0
T
Z5 1
T
MD1 6
MD1 7
MD1 8
MD1 9
G ND
MD2 0
MD2 1
MD2 2
MD2 3
55
4 ISR A SA #
4 IW EA #
4 IR A S A# 0
4 IR A S A# 1
R5 3 3
1K
IMA B 6
IMA B 8
G ND
IMA B 9
IMA B1 0
4 IMA B 6
4 IMA B 8
4 IMA B 9
4 IMA B1 0
ID Q MA 2
ID Q MA 3
G ND
MD2 4
MD2 5
MD2 6
MD2 7
4 ID Q MA 2
4 ID Q MA 3
MD2 8
MD2 9
MD3 0
MD3 1
G ND
SD A _RA
2 3 SD A _RA
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
C N10 P IN1 4 5 -> 1 4 8:NC
C N1 1
V SS
DQ 0
DQ 1
DQ 2
DQ 3
V CC
DQ 4
DQ 5
DQ 6
DQ 7
V SS
CE 0# /D QM0
CE 1# /D QM1
V CC
A0
A1
A2
V SS
DQ 8
DQ 9
D Q1 0
D Q1 1
V CC
D Q1 2
D Q1 3
D Q1 4
D Q1 5
V SS
RE S V D /D Q6 4
RE S V D /D Q6 5
V SS
D Q3 2
D Q3 3
D Q3 4
D Q3 5
V CC
D Q3 6
D Q3 7
D Q3 8
D Q3 9
V SS
CE 4# /D QM4
CE 5# /D QM5
V CC
A3
A4
A5
V SS
D Q4 0
D Q4 1
D Q4 2
D Q4 3
V CC
D Q4 4
D Q4 5
D Q4 6
D Q4 7
V SS
RE S V D /D Q6 8
RE S V D /D Q6 9
C LK 0
V CC
R AS #
WE#
C S0 #
C S1 #
QE #
V SS
RE S V D /D Q6 6
RE S V D /D Q6 7
V CC
D Q1 6
D Q1 7
D Q1 8
D Q1 9
V SS
D Q2 0
D Q2 1
D Q2 2
D Q2 3
V CC
A6
A8
V SS
A9
A1 0
V CC
CE 2# /D QM2
CE 3# /D QM3
V SS
D Q2 4
D Q2 5
D Q2 6
D Q2 7
V CC
D Q2 8
D Q2 9
D Q3 0
D Q3 1
V SS
S DA
V CC
C KE 0
V CC
C AS #
C KE 1
A1 2
A1 3
C LK 1
V SS
RE S V D /D Q7 0
RE S V D /D Q7 1
V CC
D Q4 8
D Q4 9
D Q5 0
D Q5 1
V SS
D Q5 2
D Q5 3
D Q5 4
D Q5 5
V CC
A7
BA 0/A1 1
V SS
BA 1/A1 2
A 11/A1 3
V CC
CE 6# /D QM6
CE 7# /D QM7
V SS
D Q5 6
D Q5 7
D Q5 8
D Q5 9
V CC
D Q6 0
D Q6 1
D Q6 2
D Q6 3
V SS
SC L
V CC
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
10 1
10 3
10 5
10 7
10 9
11 1
11 3
11 5
11 7
11 9
12 1
12 3
12 5
12 7
12 9
13 1
13 3
13 5
13 7
13 9
14 1
14 3
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
G ND
MD3 2
MD3 3
MD3 4
MD3 5
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
10 0
10 2
10 4
10 6
10 8
11 0
11 2
11 4
11 6
11 8
12 0
12 2
12 4
12 6
12 8
13 0
13 2
13 4
13 6
13 8
14 0
14 2
14 4
IC K E 0
MD3 6
MD3 7
MD3 8
MD3 9
G ND
ID Q MA 4
ID Q MA 5
C5 0 3
C5 2 8
R
R
G ND
MD 0
MD 1
MD 2
MD 3
MD 4
MD 5
MD 6
MD 7
G ND
ID Q MA 0
ID Q MA 1
N E A R S ODIMM
ID Q MA 4
ID Q MA 5
IMA B 3
IMA B 4
IMA B 5
G ND
MD4 0
MD4 1
MD4 2
MD4 3
MD4 4
MD4 5
MD4 6
MD4 7
G ND
Z5 2
Z5 3
ME MC L K 3
ME MC L K 4
1 1 ME MC L K 3
1 1 ME MC L K 4
IMA B 3
IMA B 4
IMA B 5
4
4
4 ID Q MA 0
4 ID Q MA 1
4
4
4
IMA B 0
IMA B 1
IMA B 2
G ND
MD 8
MD 9
MD1 0
MD1 1
4 IMA B 0
4 IMA B 1
4 IMA B 2
MD1 2
MD1 3
MD1 4
MD1 5
G ND
Z5 8
T
Z5 9
T
T
T
IC K E 0 4
ISC A SA #
IC K E 1
IMA B1 4
Z5 7
ME MC L K 2
G ND
Z5 4
T
Z5 5
T
MD4 8
MD4 9
MD5 0
MD5 1
G ND
MD5 2
MD5 3
MD5 4
MD5 5
ME MC L K 2
IMA B 7
IMA B1 1
G ND
IMA B1 2
IMA B1 3
MD6 0
MD6 1
MD6 2
MD6 3
G ND
S CL _RA
11
R5 2 6
R5 5 4
1K
1K
IMA B 6
IMA B 8
G ND
IMA B 9
IMA B1 0
4 IMA B 6
4 IMA B 8
IMA B1 2
IMA B1 3
4
4
4 IMA B 9
4 IMA B1 0
ID Q MA 6
ID Q MA 7
4
4
4 ID Q MA 2
4 ID Q MA 3
S CL _RA
ISR A SA #
IW EA #
IR A S A# 2
IR A S A# 3
Z6 0
G ND
Z6 1
T
Z6 2
T
MD1 6
MD1 7
MD1 8
MD1 9
G ND
MD2 0
MD2 1
MD2 2
MD2 3
4 ISR A SA #
4 IW EA #
4 IR A S A# 2
4 IR A S A# 3
IMA B 7 4
IMA B1 1 4
ID Q MA 6
ID Q MA 7
G ND
MD5 6
MD5 7
MD5 8
MD5 9
ME MC L K 3
1 1 ME MC L K 3
ISC A SA # 4
IC K E 1 4
IMA B1 4 4
23
ID Q MA 2
ID Q MA 3
G ND
MD2 4
MD2 5
MD2 6
MD2 7
MD2 8
MD2 9
MD3 0
MD3 1
G ND
SD A _RB
2 3 SD A _RB
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
10 1
10 3
10 5
10 7
10 9
11 1
11 3
11 5
11 7
11 9
12 1
12 3
12 5
12 7
12 9
13 1
13 3
13 5
13 7
13 9
14 1
14 3
S O-D IMM1 44_ 4
MEM_V CC
MEM_V CC
C N1 0
V SS
DQ 0
DQ 1
DQ 2
DQ 3
V CC
DQ 4
DQ 5
DQ 6
DQ 7
V SS
CE 0# /D QM0
CE 1# /D QM1
V CC
A0
A1
A2
V SS
DQ 8
DQ 9
D Q1 0
D Q1 1
V CC
D Q1 2
D Q1 3
D Q1 4
D Q1 5
V SS
RE S V D /D Q6 4
RE S V D /D Q6 5
V SS
D Q3 2
D Q3 3
D Q3 4
D Q3 5
V CC
D Q3 6
D Q3 7
D Q3 8
D Q3 9
V SS
CE 4# /D QM4
CE 5# /D QM5
V CC
A3
A4
A5
V SS
D Q4 0
D Q4 1
D Q4 2
D Q4 3
V CC
D Q4 4
D Q4 5
D Q4 6
D Q4 7
V SS
RE S V D /D Q6 8
RE S V D /D Q6 9
C LK 0
V CC
R AS #
WE#
C S0 #
C S1 #
QE #
V SS
RE S V D /D Q6 6
RE S V D /D Q6 7
V CC
D Q1 6
D Q1 7
D Q1 8
D Q1 9
V SS
D Q2 0
D Q2 1
D Q2 2
D Q2 3
V CC
A6
A8
V SS
A9
A1 0
V CC
CE 2# /D QM2
CE 3# /D QM3
V SS
D Q2 4
D Q2 5
D Q2 6
D Q2 7
V CC
D Q2 8
D Q2 9
D Q3 0
D Q3 1
V SS
S DA
V CC
C KE 0
V CC
C AS #
C KE 1
A1 2
A1 3
C LK 1
V SS
RE S V D /D Q7 0
RE S V D /D Q7 1
V CC
D Q4 8
D Q4 9
D Q5 0
D Q5 1
V SS
D Q5 2
D Q5 3
D Q5 4
D Q5 5
V CC
A7
BA 0/A1 1
V SS
BA 1/A1 2
A 11/A1 3
V CC
CE 6# /D QM6
CE 7# /D QM7
V SS
D Q5 6
D Q5 7
D Q5 8
D Q5 9
V CC
D Q6 0
D Q6 1
D Q6 2
D Q6 3
V SS
SC L
V CC
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
10 0
10 2
10 4
10 6
10 8
11 0
11 2
11 4
11 6
11 8
12 0
12 2
12 4
12 6
12 8
13 0
13 2
13 4
13 6
13 8
14 0
14 2
14 4
G ND
MD3 2
MD3 3
MD3 4
MD3 5
MD3 6
MD3 7
MD3 8
MD3 9
G ND
ID Q MA 4
ID Q MA 5
ID Q MA 4
ID Q MA 5
IMA B 3
IMA B 4
IMA B 5
G ND
MD4 0
MD4 1
MD4 2
MD4 3
MD4 4
MD4 5
MD4 6
MD4 7
G ND
Z6 3
Z6 4
IMA B 3
IMA B 4
IMA B 5
4
4
4
4
4
T
T
IC K E 2
IC K E 2 4
ISC A SA #
IC K E 3
IMA B1 4
Z6 5
ME MC L K 4
G ND
Z6 6
T
Z6 7
T
MD4 8
MD4 9
MD5 0
MD5 1
ISC A SA # 4
IC K E 3 4
IMA B1 4 4
ME MC L K 4
11
MD5 2
MD5 3
MD5 4
MD5 5
IMA B 7
IMA B1 1
G ND
IMA B1 2
IMA B1 3
IMA B 7 4
IMA B1 1 4
ID Q MA 6
ID Q MA 7
G ND
MD5 6
MD5 7
MD5 8
MD5 9
MD6 0
MD6 1
MD6 2
MD6 3
G ND
S CL _RB
IMA B1 2
IMA B1 3
4
4
ID Q MA 6
ID Q MA 7
4
4
S CL _RB
23
SO -DIMM14 4_4R
MEM_V CC
MEM_V CC
C4 8 8
C4 7 1
C4 7 0
C4 7 8
C4 7 3
C4 7 2
C4 7 7
C4 7 4
C4 7 9
C4 8 0
C4 7 5
C5 3 3
C5 3 1
C5 2 9
C4 8 7
C5 2 4
C5 0 5
C5 0 6
C5 2 3
C4 8 6
C4 8 5
C4 8 1
C5 2 7
C5 3 0
C5 3 2
C5 0 4
4.7U
4.7U
.1U
.1U
.1U
.01U
.01U
.01U
.01U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
.1U
4.7U
4.7U
.1U
.1U
.1U
.01U
.01U
.01U
.01U
CLEVO CO.
´ ¯ ⁄
„q ‚ £
C L EVO C O.
T it le
SODIMM
Size
B
Date:
Docum ent Num ber
71-51S00-D02
M onday, Septem ber 18, 2000
Sheet
5
of
V CC 3
9
R ED
RED
R3 42
0
Z6 31
C
9 H SY NC
9 V SY NC
T
11 V OS CI
R3 69
C1 50
14 0 _1 %
B 14
A 14
A 15
HSY NC
V SY NC
D 15
A 16
C 15
B 16
S SY NC
C 16
VO SCI
A 11
RSET
E 19
630VREF
.1U
C3 27
Z6 31
Z6 32
Z6 33
DDCDA
DDCLK
9 D DC DA
9 DD CLK
1U COMP
C 14
B 15
DA CA VD DC
F 18
56
B K2 1 2 5H S3 30
C3 29
C3 28
4 .7U
T
T
T
T
ROUT
GOUT
B OUT
H SY NC
V SY NC
4 .7U
V OS CI
RS E T
PR1
S BA 7
A CB E 1#
A CB E 0#
A T R DY #
A D EV S E L
A SE RR #
AP AR
A ST OP #
VG A
Interface
V BH S Y NC /ST 0
V BV S Y NC /ST 1
S B A 0 /V BB LA NK #
V G CLK /A GNT #
V B CLK /ST 2
S BA 2/DD C2 CL K
S B A 1/D D C2D A T
COMP
DA CA VD DC
AD_S T B 0
A D _ST B 0#
DA CA VS S C
AD_S T B 1
A D _ST B 1#
A GP CLK
A G PV RE F
F 15
B K2 1 2 5H S3 30
C1 64
4 .7U
4 .7U
P6
P7
P8
P9
P 10
P 11
4
6
7
9
10
12
Z 68
Z 69
Z 70
Z 71
Z 72
Z 73
Z 74
Z 75
P 12
P 13
P 14
P 15
P 16
P 17
13
15
16
18
19
20
R6
P2
P1
U6
Z 76
Z 77
Z 78
Z 79
VBHSY NC
VBVSY NC
22
23
25
T
T
T
T
T
T
T
T
T
T
T
T
V6
R5
R3
VBHSY NC
VBVSY NC
M
T6
R4
SHF CLK
V BH S Y NC
V BV S Y NC
M
8
8
8
M
S HF CL K
LC D_ P D #
8 D OT CLK
DO T CLK
LC D_ P D #
R1
R2
ENAVDD#
ENABKKL#
T2
T3
Z 82
Z 83
AD2
AD3
Z 84
Z 85
W1
W2
Z 86
Z 87
A J3
AGP_CLK
AA 1
Z 88
AB 1
R4 09
E N AV DD # 7,8
E NA B K K L # 7
26
27
U 12
V CC
V CC
V CC
V CC
T XO U T 0T X OU T 0+
T XO U T 1T X OU T 1+
T X IN 0
T X IN 1
T X IN 2
T X IN 3
T X IN 4
T X IN 5
T XO U T 2T X OU T 2+
T XC LK OT X CL K O +
T X IN 6
T X IN 7
T X IN 8
T X IN 9
T XIN 10
T XIN 11
L VD SV CC
L VD SG ND
L VD SG ND
L VD SG ND
T XIN 12
T XIN 13
T XIN 14
T XIN 15
T XIN 16
T XIN 17
P LLV CC
P LLG ND
P LLG ND
T XIN 18
T XIN 19
T XIN 20
N /C
G ND
G ND
G ND
G ND
G ND
T XC LK IN
/P W R DW N
A GP A V DD 1
DCLKAV DD
F 16
B K2 1 2 5H S3 30
C1 52
C3 54
4 .7U
4 .7U
.01U
E 16
L 57
DA CA VD DB
E 14
B K2 1 2 5H S3 30
C3 20
C3 26
C3 52
4 .7U
4 .7U
.01U
Y 1M
Y 1P
35
34
Y 2M
Y 2P
33
32
CLKO UT M
CLKO UT P
37
LVDSVCC
Y 0M
Y 0P
7
7
Y 1M
Y 1P
7
7
Y 2M
Y 2P
7
7
C LK OU T M
C LK OU T P
7
7
VC
L 74
B K2 1 2 5H S3 30
C6 03 C6 04 C6 14
36
42
31
.01U
.1U
4 .7U
L 73
29
PLLV CC
B K2 1 2 5H S3 30
C5 94 C6 02 C5 93
30
28
.01U
43
24
17
11
5
46
Z 90
.1U
4 .7U
T
4 .7K
T
T
T
T
S HF CL K
SHF CLK
T
T
R7 48
0 Z8 03 R6 17
0
DO T CLK
C7 01
C5 85
10 P(R)
10 P(R)
D OT CLK
8
a“ æU 25
Z 89
A GP _ CLK
R4 72
11
P [0 ..17 ]
8 .2K
R4 71
59
V CC 3
R N 25
P 0 _0
P 1 _0
P 2 _0
P 3 _0
1
2
3
4
P 13 _0
P 12 _0
P 6 _0
P 7 _0
1
2
3
4
P 8 _0
P 9 _0
P 10 _0
P 11 _0
1
2
3
4
P 5 _0
P 4 _0
P 15 _0
P 14 _0
1 R N 26
2
3
4
8
7
6
5
P0
P1
P2
P3
8
7
6
5
P 13
P 12
P6
P7
8
7
6
5
P8
P9
P 10
P 11
8
7
6
5
P5
P4
P 15
P 14
P [0 ..17 ]
B K2 1 2 5H S3 30
V CC 3
C4 06
C1 16
C4 23
.01U
4 .7U
4 .7U
R N 17
8P 4 R-0
DC L K A V DD
DC L K A V SS
A GP A V DD 2
A D 10
AE 9
A GP V S S RE F
DA CA VS S B
AD8
R N 18
L 59
AG PAVDD1
J 3 2 16 H S48 0NT
DA CA VD DB
A GP A V SS 2
D 14
L 26
A E 10 AG PAVDD0
E C L K A V SS
A GP A V SS 1
C1 53
Y 0M
Y 0P
39
38
8P 4 R-0
.01U
L 44
41
40
S N 75L V DS 84A
C3 53
E 15
V CC 3
E C L K A V DD
A GP R CO MP
C1 51
44
45
47
48
1
3
V RE F
SiS630S-4
E CLKAV DD
U2
AC1
A G2
AB 3
AB 4
AB 6
AA 5
AB 5
21
14
8
2
P0
P1
P2
P3
P4
P5
U 25D
V BC A D /A R EQ #
V B HC LK /R B F#
V B CT L0 /W B F#
V BC T L 1 /P IPE #
S SY NC
.01U
L 43
V CC 3
4 .7U
P [0 ..17 ]
8 P [0 ..17 ]
V2
V3
V4
V5
W3
W4
Y1
U3
T1
T4
T5
U1
W5
V1
U4
U5
AG P Interface
DD C 1DA T A
DD C1 CLK
C3 55
F 20
V CC 3
C5 96
.01U
PR0
P 5 _0
P 4 _0
P 3 _0
P 2 _0
P 1 _0
P 0 _0
P 11 _0
P 10 _0
P 9 _0
P 8 _0
P 7 _0
P 6 _0
P G1
P G0
Z6 24
S B_ S T B
SB _S T B #
L 58
V CC 3
C5 95
.01U
R
A A D27 /R 7
A A D26 /R 6
A A D25 /R 5
A A D24 /R 4
A A D23 /R 3
A A D22 /R 2
A A D21 /R 1
A C B E 3# /R 0
Z6 33
C
S B A 3 /G 7
S B A 4 /G 6
S B A 5 /G 5
S B A 6 /G 4
A A D31 /G3
A A D28 /G2
A A D30 /G1
A A D29 /G0
0
C1 49
AB 2
Y6
AA 4
AA 3
Y4
Y5
Y2
Y3
AD6
A G1
A F3
A F2
A F1
AE 4
AE 3
AE 2
AE 1
AD5
AD4
AD1
AC4
AC3
AC2
AC5
R3 32
A AD 0
A AD 1
A AD 2
A AD 3
A AD 4
A AD 5
A AD 6
A AD 7
A AD 8
A AD 9
A A D 10
A A D 11
A A D 12
A A D 13
A A D 14
A A D 15
B LUE
P 17 _0
P 16 _0
P 15 _0
P 14 _0
P 13 _0
P 12 _0
T
T
Z6 32
PB1
PB0
0
R6 24
C
A FR AME # /B 7
A IRD Y # /B 6
A A D16 /B 5
A C B E 2# /B 4
A A D18 /B 3
A A D17 /B 2
A A D20 /B 1
A A D19 /B 0
R3 33
C1 48
9 B LUE
C6 15
.01U
10 K
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
G RE EN
A AD 0
A AD 1
A AD 2
A AD 3
A AD 4
A AD 5
A AD 6
A AD 7
A AD 8
A AD 9
A A D 10
A A D 11
A A D 12
A A D 13
A A D 14
A A D 15
9 G RE E N
C6 16
R6 25
C1 46
8P 4 R-0
V CC 3
R4 47
C4 05
C4 35
1 K(R)
.01U
.01U
8P 4 R-0
AA 2
P 16 _0
R4 48
0
P 16
P 17 _0
R 91
0
P 17
´ ¯ ⁄
CLEVO
„q ‚ £ CO.
C L EV O C O.
S iS 63 0S
T it le
SiS630S V GA INTERFACE
Size
Docum ent Num ber
C us tom
Date:
71-51S00-D02
Monday, S eptem ber 18, 2000
S heet
6
of
V CC 3
V CC 3
+12 V
R6 1 6
C6 9
C6 3 5
4.7U
.1U
R6 2 0
R6 3 8
V CC 3
U9
1
D
2
D
3
G
1M
R6 3 7
Z29 4
10K
E NA V DD # R6 3 9
6,8 E NA V DD #
0
D
S
0
E NA B K K L
E NAB L
E NAB L
6
D
Q7 8
S
2N 700 2
LC DV DD
5
4
C6 3 4
C6 2 6
C7 4
.1U
4.7U
6 EN A BK KL #
EN A BK KL #
8 D IS P OFF #
D IS P OFF #
G
FOR DS TN
.1U
R6 2 3
R
D
Q7 9
S
2N 70 02(R)
G
FP _V DD EN
8 FP _V DD EN
D
S I3 45 6DV
D
Q2
S
2N 700 2
G
Z61 2
E NA B K K L
10K
LC DV DD
C ON T A D J
B RIG AD J
FOR D STN
C ON T A D J
B RIG AD J 1 9
C6 2 5
C6 2 4
C6 0 1
C6 0 0
C6 1 2
C6 1 3
.1U
4.7U
.1U
4.7U
.1U
4.7U
FOR DS TN
V CC
+12 V
8
8
LP
FLM
LP
FLM
R7 4 9
R7 5 0
D6 6
A
C F1J 4
D6 5
A
C F1 J 4(R)
CN 6
IN V V CC
E NAB L
1 9 B RIG AD J
E NAB L
B RIG AD J
8 D IS P OFF #
Z80 4
Z80 2
D IS P OFF #
0
0
C7 0 2
C
C7 0 3
C
CL2
CO NT ADJ
57
8 CL 2
C ON T A D J
8 LDE
8 PA N ELID 0
8 PA N ELID 1
LDE
PA N ELID 0
PA N ELID 1
LC DV DD
6
6
Y0 M
Y0 P
6
6
Y1 M
Y1 P
6
6
Y2 M
Y2 P
6 C LK OU T M
6 C LK OU T P
Y0M
Y 0P
R4 4
R4 3
18
18
T X OU T U 0T X O UT U0 +
Y1M
Y 1P
R4 0
R3 9
18
18
T X OU T U 1T X O UT U1 +
Y2M
Y 2P
R3 8
R3 4
18
18
T X OU T U 2T X O UT U2 +
CLKO UT M
CLKO UT P
R3 3
R3 2
18
18
T XC LK UT X CL K U +
C6 3 2 C6 3 3 C6 4 3 C6 4 4 C6 4 5 C6 4 8 C6 4 9 C6 5 5
10P
10P
10P
10P
10P
10P
10P
CN 9
Z56 9
1
1
Z57 0
2
2
Z57 1
3
3
Z57 2
4
4
Z57 3
5
5
Z57 4
6
6
7
7
Z57 5
8
8
Z57 6
9
9
Z57 7
10
10
Z57 8
11
11
Z57 9
12
12
Z58 0
13
13
14
14
Z58 1
15
15
Z58 2
16
16
Z58 3
17
17
Z58 4
18
18
Z58 5
19
19
Z58 6
20
20
21
H RS _R _H EA D2 0
22
23
24
25
26
27
28
29
30
H RS _R _H EA D3 0
R5 4 4
R5 4 5
R5 4 8
R5 4 9
R5 5 0
R5 6 0
0
0
0
0
0
0
T FT _B 0
T FT _B 1
DS T N_ UD 0
DS T N_ UD 1
DS T N_ UD 2
DS T N_ UD 3
R5 6 1
R5 8 2
R5 8 3
R5 8 4
R5 9 6
R5 9 3
0
0
0
0
0
0
DS T N_ UD 4
DS T N_ UD 5
DS T N_ UD 6
DS T N_ UD 7
D ST N _ LD 0
D ST N _ LD 1
R5 9 7
R5 9 4
R5 9 8
R5 9 5
R6 0 4
R6 0 3
0
0
0
0
0
0
D ST N _ LD 2
D ST N _ LD 3
D ST N _ LD 4
D ST N _ LD 5
D ST N _ LD 6
D ST N _ LD 7
T FT _B 0 8
T FT _B 1 8
DS T N_ UD 0
DS T N_ UD 1
DS T N_ UD 2
DS T N_ UD 3
DS T N_ UD 4
DS T N_ UD 5
DS T N_ UD 6
DS T N_ UD 7
D ST N _ LD 0
D ST N _ LD 1
D ST N _ LD 2
D ST N _ LD 3
D ST N _ LD 4
D ST N _ LD 5
D ST N _ LD 6
D ST N _ LD 7
C5 1 3 C5 1 4 C5 2 0 C5 2 1 C5 2 2 C5 4 0 C5 4 1 C5 4 6 C5 4 7 C5 4 8 C5 5 9 C5 5 6 C5 6 0 C5 5 7 C5 6 1 C5 5 8 C5 7 1 C5 7 0
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
10P
V CC
R6 6 2
5.6K
Near SiS630S and place top side
V CC 3
1
2
S5
4
3
R5 1 6
4.7K
MD D3 3
R5 1 7
4.7K
MD D3 9
Z74 0 R5 1 5
Z74 1 R5 1 4
4.7K
4.7K
MD D3 2
MD D3 6
MD D3 3
MD D3 9
MD D3 2
MD D3 6
3,4
3,4
3,4
3,4
HC H_ DIP SW _ 2
V CC
MD39 1: LVDS
0: CMOS
MD36 1: 1024*768
0: 800*600
R6 4 8
16,17 ,1 9,2 0
16,17 ,1 9,2 0
16,17 ,1 9,2 0
16,17 ,1 9,2 0
16,17 ,1 9,2 0
16,17 ,1 9,2 0
16,17 ,1 9,2 0
16,17 ,1 9,2 0
P CSS 1
10K
V CC
MD32,MD33: PANEL ID
1 6 P CS 1
Panel
1
2
1 2. 1 "
ID
SAN Y O
TM 1 2 1S V -0 2L 04
Sa m su ng
L T1 21 S U -1 21
V M D 39 V M D 36 V M D 33 V M D 16
32,1 7,1 9 IO W R #
1
1
0
0
1
0
1
0
3
ADI
A A1 2 1S J 03
0
0
0
0
4
IBM
I TS V 5 3C 1
0
0
0
0
SD 0
SD 1
SD 2
SD 3
SD 4
SD 5
SD 6
SD 7
SD 0
SD 1
SD 2
SD 3
SD 4
SD 5
SD 6
SD 7
P CS 1
1
IO W R #
2
3
U5 0
IN 1
V CC
5
3
4
7
8
13
14
17
18
11
1
U8
D0
D1
D2
D3
D4
D5
D6
D7
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
V CC
LE
G ND
OE
74H C T 37 3
2
5
6
9
12
15
16
19
Z61 3
Z61 4
Z61 5
Z61 6
Z61 7
Z61 8
Z61 9
Z62 0
R6 5 9
R6 5 8
R6 5 7
R6 5 0
R6 4 9
R6 5 4
R6 5 5
R6 5 6
20
10
680 K
330 K
160 K
82K
39K
20K
10K
4.7K
S
Z62 5
Q1
D
C ON T A D J
C ON T A D J
G
C5 9
1U
N D S 35 2
Z62 6
R6 6 1
R3 0
2.2K
D
Q8 0
2N 700 2 S
10K
G F P _V C ON EN
V CC
F P _V C ON EN
8
V CC
C6 5 6
.1U
IN 2
G ND
O UT
S N 7 4A HC T 1G0 2
4
C6 6 9
FOR D STN
.1U
CLEVO
„q ‚ £ CO.
C L EVO C O.
´ ¯ ⁄
T it le
LCD INTERF ACE
Size
B
Date:
Docum ent Num ber
71-51S00-D02
M onday, Septem ber 18, 2000
Sheet
7
of
V CC 3
12 L_ T XD 1
7
L_ T XD 1
4
L_ T XD 0
12 L_ T XD 0
16
V CC
C5 5 0
6
M
I1D
I0D
YD
9
L_ T XD 2
12 L_ T XD 2
E#
YC
YB
I1C
I0C
I1B
I0B
YA
V CC
I1A
I0A
13
14
L_ R XE E R
92 11 S DO
L_ R XE E R
13
10
11
L_ T X DD 2
92 11 S CS
L_ T X DD 2
13
6
5
L_ T X DD 1
92 1 1 S D IN
L_ T X DD 1
13
3
2
L_ T X DD 0
9 211 S CL K
L_ T X DD 0
13
5P
6 P [ 0.. 17]
DOT CLK
6 D OT CL K
6 V B H SY NC
6 V B V SY NC
P [ 0.. 17]
V B H SY NC
V B V SY NC
76
79
78
85
86
96
P0
P1
P2
P3
P4
P5
V CC 3
1
2
3
4
RN 72
8
7
6
5
8P 4 R-1 0K
9,1 0,1 3 ,1 4,1 6, 21 ,2 3
P C IR S T #
P6
P7
P8
P9
P 10
P 11
93
92
87
94
98
1 00
P 12
P 13
P 14
P 15
P 16
P 17
80
82
83
81
84
88
P C IR S T #
44
R5 6 5
R5 5 2
R5 6 6
10 K
10 K
10 K
T
58
R5 6 7
R5 6 9
7 P A N EL ID 0
7 P A N EL ID 1
Z5 98
Z5 99
Z6 00
45
47
46
Z5 97
48
49
9 211 _O S C
16 9 211 _O S C
V CC 3
1 01
77
95
75
97
99
E NA LCD IN
E N AV DD
E NA LCD IN
E N AV DD
M
92 11 S DO
92 11 S CS
92 1 1 S D IN
9 211 S CL K
40
41
43
42
Q S 32 57
.1U
C7 0 4
U S E RS W # 19
1
17
37
55
72
91
1 08
1 25
12
U S E RS W #
LP
S H FCLK
FL M
S CLK
S D IN
S CS
S DO
LD 2
LD 3
E N A_ LCD IN
E N A_ V DD IN
E NA _DIS P
D OT CL K
F P_ H SY NC
F P_ V SY NC
LD 4
LD 5
LD 6
LD 7
LD 1 0
LD 1 1
UD 0
UD 1
R ED 0
R ED 1
R ED 2
R ED 3
R ED 4
R ED 5
UD 2
UD 3
UD 6
UD 7
UD 8
UD 9
UD 10
UD 11
G RE EN 0
G RE EN 1
G RE EN 2
G RE EN 3
G RE EN 4
G RE EN 5
B LUE 0
B LUE 1
B LUE 2
B LUE 3
B LUE 4
B LUE 5
R ST #
LD 0
LD 1
LD 8
LD 9
UD 4
UD 5
DI SP OF F#
F P_ V DD E N
FP _ VC ON E N
LDE
T ES TA
T E ST _ SE
S C AN _ EN
MA 0
MA 1
MA 2
MA 3
MA 4
MA 5
MA 6
MA 7
MA 8
MA 9
MA 10
X T A LIN
X T AL O UT
20 K
20 K
R5 7 0
R5 6 8
R 51
R 50
R 49
R 48
R 47
R 46
P A N EL ID 0
P A N EL ID 1
V CC 3
3 30
3 30
10 0K
10 0K
10 0K
10 0K
10 0K
10 0K
Z5 89
Z5 90
Z5 91
Z5 92
Z5 93
Z5 94
Z5 95
Z5 96
70
69
68
67
66
65
64
63
50
51
52
53
56
57
58
59
60
61
62
74
GP IO 0
GP IO 1
GP IO 2
GP IO 3
GP IO 4
GP IO 5
GP IO 6
GP IO 7
MD 0
MD 1
MD 2
MD 3
MD 4
MD 5
MD 6
MD 7
MD 8
MD 9
MD 10
MD 11
MD 12
MD 13
MD 14
MD 15
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
CS #
WE#
R AS #
C A S L#
CA SH #
O E #/ B A
C KE #
D QML
DQ MH
V CC 3
10 K
E N AV DD
E N AV DD
19
54 V S S
89 V S S
1 28 V S S
V SS
R5 7 1
C 79
C5 8 4 C5 6 2 C5 4 3 C5 4 4 C5 4 5
C6 1 7
.1U
.1U
10 U
.1U
.1U
.1U
.1U
U 44
V DD IO
V DD IO
V DD IO
V DD IO
V DD IO
V DD IO
V DD IO
V DD IO
15
L _RX ER
1
V S S IO
V S S IO
V S S IO
V S S IO
V S S IO
V S S IO
V S S IO
Z6 57
S
2
18
38
71
90
1 09
1 26
1K
G ND
36
73
1 44
R5 8 6
12 L _RX ER
U 43
V DD
V DD
V DD
8
CLK
C S 92 11
31
30
33
LP
P A N _CLK
FL M
LP
7
P A N _CLK
FL M 7
27
26
T F T _B 0
T F T _B 1
T F T _B 0
T F T _B 1
25
24
23
22
16
15
14
13
D S T N _UD 0
D S T N _UD 1
D S T N _UD 2
D S T N _UD 3
D S T N _UD 4
D S T N _UD 5
D S T N _UD 6
D S T N _UD 7
D S T N _UD 0
D S T N _UD 1
D S T N _UD 2
D S T N _UD 3
D S T N _UD 4
D S T N _UD 5
D S T N _UD 6
D S T N _UD 7
12
11
8
7
6
5
4
3
Z6 06
Z6 07
Z6 08
Z6 09
Z6 10
Z6 11
39
34
35
DI SP OF F#
F P_ V DD E N
FP _ VC ON E N
32
LDE
7
7
7
7
7
7
7
7
V CC 3
PA N_CLK
P A N _CLK
DS T N _ LD 0
DS T N _ LD 1
DS T N _ LD 2
DS T N _ LD 3
DS T N _ LD 4
DS T N _ LD 5
DS T N _ LD 6
DS T N _ LD 7
29
28
21
20
10
9
7
7
DS T N _ LD 0
DS T N _ LD 1
DS T N _ LD 2
DS T N _ LD 3
DS T N _ LD 4
DS T N _ LD 5
DS T N _ LD 6
DS T N _ LD 7
R5 9 9
1 0K (R)
R6 0 0
0
CL2
7
7
7
7
7
7
7
7
C L2
7
C5 7 2
1 0P (R)
T
T
T
T
T
T
DI SP OF F# 7
F P_ V DD E N 7
FP _ VC ON E N 7
LDE
U 11
7
1 36
1 38
1 43
1 42
1 41
1 40
1 39
1 37
1 34
1 32
1 35
S D MA 0
S D MA 1
S D MA 2
S D MA 3
S D MA 4
S D MA 5
S D MA 6
S D MA 7
S D MA 8
S D MA 9
S D MA 10
21
22
23
24
27
28
29
30
31
32
20
1 03
1 05
1 07
1 11
1 13
1 15
1 17
1 19
1 18
1 16
1 14
1 12
1 10
1 06
1 04
1 02
S D MD 0
S D MD 1
S D MD 2
S D MD 3
S D MD 4
S D MD 5
S D MD 6
S D MD 7
S D MD 8
S D MD 9
S D MD 10
S D MD 11
S D MD 12
S D MD 13
S D MD 14
S D MD 15
2
3
5
6
8
9
11
12
39
40
42
43
45
46
48
49
1 31
1 22
1 30
1 27
1 24
1 33
1 29
1 20
1 21
S DR AMCS #
S D RA MW E #
S D RA MR A S #
S D RA MC A S #
Z5 88
T
S DR AMB A
S D RA MC K E
S D RA MD QML
S DR AMDQ MH
1 23
S DR AMCLK
1
2
3
4
RN 64
1
2
3
4
RN 65
R6 4 0
8
7
6
5
8 P4 R -10
8
7
6
5
8 P4 R -10
10
S D CS #
S DW E #
S DR AS #
S DC AS #
18
15
17
16
S D BA
S DC KE
S DD QML
S D DQ MH
19
34
14
36
S D CL K
35
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A 10 AP
DQ 0
DQ 1
DQ 2
DQ 3
DQ 4
DQ 5
DQ 6
DQ 7
DQ 8
DQ 9
DQ 10
DQ 11
DQ 12
DQ 13
DQ 14
DQ 15
CS #
WE#
R AS #
C AS #
V CC 3
V DD
V DD
V D DQ
V D DQ
V D DQ
V D DQ
NC
NC RFU
V S SQ
V S SQ
V S SQ
V S SQ
V SS
V SS
1
25
C6 2 8 C6 2 7 C6 1 9 C6 2 1 C6 2 0 C6 1 8 C 76
7
13
38
44
33
37
.1U
Z6 05
.1U
.1U
.1U
.1U
.1U
10 U
T
47
41
10
4
50
26
BA
C KE
LD QM
UD QM
CLK
K M41 6S 11 20 DT -G /F 1 0
C
6, 7 E N AV DD #
V CC 3
B
Q 77
E D T C 114 E UA
R6 4 1
20 K
E NA LCD IN
R6 4 2
R
E N AV DD #
E NA LCD IN
CLEVO CO.
´ ¯ ⁄
„q ‚ £
C LE VO C O .
T it le
TFT TO DSTN - CS9211
Size
Docum ent Num ber
C us t om
Date:
71-51S00-D02
Monday, Sept em ber 18, 2000
S heet
8
of
1 4,2 3
IDEA VDD
AD[ 0.. 31]
A D[0 ..3 1 ]
R 496
0
V C C1 .8
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
C 448
C 449
C 453
.00 1 U
.1 U
4 .7 U
C1
C2
C3
PG NT #2
PG NT #1
PG NT #0
D2
D3
D4
C/ BE#3
C/ BE#2
C/ BE#1
C/ BE#0
F3
H4
J1
L1
INT #A
INT #B
INT #C
INT #D
N1
P4
P5
P3
PG NT #[ 0. . 2]
1 4 P GN T # [0..2 ]
1 4,2 3
P REQ#2
P REQ#1
P REQ#0
C/ BE#[ 0. . 3]
C /B E # [0..3 ]
1 4 INT # A
INT # B
INT # C
INT # D
1 4,2 3 FR A ME #
1 4,2 3 IRDY #
1 4,2 3 T RDY #
1 4 S T OP #
1 4 S E RR #
14 PA R
1 4 DE V S E L #
P L OCK #
H3
H2
H1
J2
SERR#
PAR
DEVSEL#
P LO CK#
B 11
M6
J3
L4
630PCLK
P CIRS T #
R 136
33
Z 1 00
A J 15
C 11
P G NT # [2]
P G NT # [1]
P G NT # [0]
IDS A A [2 ]
IDS A A [1 ]
IDS A A [0 ]
C/B E # [3 ]
C/B E # [2 ]
C/B E # [1 ]
C/B E # [0 ]
INT A #
INT B #
INT C #
INT D #
IC HR DY B
IDR E Q[B ]
IIRQ B
CB L ID B
FR A ME #
IRDY #
T RDY #
S T OP #
IIO R#[B ]
IIOW # [B ]
IDA C K # [B ]
S E RR #
PA R
DE V S E L #
P L OCK #
6 GR E E N
6 BLU E
P IO RD Y
P D RE Q
IDE -IRQ 1 4
CB L ID A
AH 8
A F8
A J8
P DIOR #
P D IO W #
P D A CK #
AD 14
AE 14
AG9
P DA 2
P DA 1
P DA 0
A F1 0
A J9
C S3P #
C S1P #
AH 13
AD 17
A F1 5
AG15
S IO RD Y
S D RE Q
IDE -IRQ 1 5
CB L ID B
AG13
A F1 3
A J 13
S DIOR #
S D IO W #
S D A CK #
AG14
A F1 4
AD 18
S DA 2
S DA 1
S DA 0
A J 14
AH 14
C S3S #
C S1S #
P IO RD Y 1 0
P D RE Q 1 0
IDE -IRQ 1 4 1 0
CB L ID A 1 0
8 P 4 R-4 .7 K
8
7
6
5
ST OP#
PERR#
SERR#
P LO CK#
8 P 4 R-2 .7 K
8
7
6
5
FRA ME#
IRDY #
T RDY#
DEVSEL#
8 P 4 R-2 .7 K
4
3
2
1
P REQ#0
P REQ#2
PG NT #0
PG NT #2
R 367
4 .7 K
P REQ#1
R 366
4 .7 K
PG NT #1
R 450
R
CB L ID B
R 396
R 612
10 K
10 K
PAR
CLKRUN#
1
2
3
4
C S3P # 10
C S1P # 10
1
2
3
4
S IO RD Y 1 0
S D RE Q 1 0
IDE -IRQ 1 5 1 0
CB L ID B 1 0
5
6
7
8
S DIOR # 1 0
S D IO W # 1 0
S D A CK # 1 0
C S3S # 10
C S1S # 10
RN 8
RN 7
RN 1 9
C/B E # 3
C/B E # 2
C/B E # 1
C/B E # 0
1 4,2 3
1 4,2 3
1 4,2 3
1 4,2 3
INT # A 1 4
INT # D
INT # C
INT # B
S T OP # 1 4
P E RR # 1 4
S E RR # 1 4
P L OCK #
FR A ME # 1 4,2 3
IRDY # 1 4,2 3
T RDY # 1 4,2 3
DE V S E L # 1 4
PR EQ#0
PR EQ#2
P G NT # 0
P G NT # 2
14
14
PR EQ#1
P G NT # 1
CB L ID B
10
S i S 63 0 S
DD P [0 ..1 5 ]
DD P [0 ..1 5 ]
10
DD S [0 ..1 5 ]
DD S [0 ..1 5 ]
10
PA R 14
CL K RUN #
1 4,1 7
a“æ 630S CHIP PCI BUS
INTERFA CE
V CC 3
V GA _ V C C
R 82
2 .2 K
2 .2 K
C 422
1
2
1
D9
D 58
.01 U
C 386
L 35
B K 2 12 5 HS 3 30
.01 U
DA 2 21
V GA _ V C C
DD CD A
L 31
B K 1 60 8 HS 3 30
R 86
D DCL K
L 24
B K 1 60 8 HS 3 30
R(0 80 5)
RE D
L 32
B K 1 60 8 HS 1 21
GR E E N
L 33
B K 1 60 8 HS 1 21
BLU E
L 28
B K 1 60 8 HS 1 21
CN 1 7
Z91
Z92
Z93
Z94
Z95
Z96
Z 2 97
HS Y N C
L 34
B K 1 60 8 HS 1 21
Z97
VS YN C
L 25
B K 1 60 8 HS 1 21
Z98
3
Z99
C 131
R 443
33 P
C 123
R 407
D7
33 P
7 5_ 1%
C 385
C 384
C 403
C 420
C 375
C 421
C 402
33 P
33 P
33 P
2 20 P
2 20 P
2 20 P
2 20 P
C 404
.1 U
18
R 426
33 P
7 5_ 1%
19
1
9
2
10
3
11
4
12
5
13
6
14
7
15
8
DA 2 21
7 5_ 1%
P A N_ C RT _ DB 1 5
2
C 114
V GA _ V C C
DA 2 21
3
R 90
1
6 VS YN C
INT #A
INT #D
INT #C
INT #B
P DA 2 1 0
P DA 1 1 0
P DA 0 1 0
S DA 2 1 0
S DA 1 1 0
S DA 0 1 0
C/ BE#3
C/ BE#2
C/ BE#1
C/ BE#0
8 P 4 R-8 .2 K
1
2
3
4
8
7
6
5
P DIOR # 1 0
P D IO W # 1 0
P D A CK # 1 0
T
T
6 HS Y N C
RN 2 4
8 P 4R -1 0 K
8
7
6
5
DDP 0
DDP 1
DDP 2
DDP 3
DDP 4
DDP 5
DDP 6
DDP 7
DDP 8
DDP 9
D DP 1 0
D DP 1 1
D DP 1 2
D DP 1 3
D DP 1 4
D DP 1 5
DDS 0
DDS 1
DDS 2
DDS 3
DDS 4
DDS 5
DDS 6
DDS 7
DDS 8
DDS 9
D DS 1 0
D DS 1 1
D DS 1 2
D DS 1 3
D DS 1 4
D DS 1 5
RE D
ID E C S B # [1]
ID E C S B # [0]
AE 13
AG8
A F9
AH 9
RN 6 3
VC C
2
6
IDS A B [2 ]
IDS A B [1 ]
IDS A B [0 ]
IDE Interface
P CICL K
P CIRS T #
3
6 D DCL K
ID E C S A # [1]
ID E C S A # [0]
SiS630S-2
59
6 DD CD A
AH 15
IIO R#[A ]
IIOW # [A ]
IDA C K # [A ]
V GA _ V C C
VC C
IC HR DY A
IDR E Q[A ]
IIRQ A
CB L ID A
PCI Interface
AE 17
A G 1 2 IDB 0
A F1 2 IDB 1
A H 1 1 IDB 2
A E 1 6 IDB 3
A J 1 0 IDB 4
A D 1 5 IDB 5
A E 1 5 IDB 6
A G 1 0 IDB 7
A H 1 0 IDB 8
A F1 1 IDB 9
A G 1 1 IDB 1 0
A J 1 1 IDB 1 1
A D 1 6 IDB 1 2
A H 1 2 IDB 1 3
A J 1 2 IDB 1 4
IDB 1 5
1 1 6 30 P CL K
8 ,1 0 ,1 3 ,14 ,1 6,2 1,2 3
P CIRS T #
FRA ME#
IRDY #
T RDY#
ST OP#
P R E Q # [2]
P R E Q # [1]
P R E Q # [0]
AE 8
A E 1 2 IDA 0
A G 7 IDA 1
A J 6 IDA 2
A D 1 2 IDA 3
A F6 IDA 4
A E 1 1 IDA 5
A H 5 IDA 6
A J 5 IDA 7
A E 6 IDA 8
A G 6 IDA 9
A H 6 IDA 1 0
A F7 IDA 1 1
A H 7 IDA 1 2
A J 7 IDA 1 3
A D 1 3 IDA 1 4
IDA 1 5
1 4 P RE Q# [0..2 ]
1
2
3
4
U2 5 B
ID E A V D D
PREQ#[ 0. . 2]
AD 31
AD 30
AD 29
AD 28
AD 27
AD 26
AD 25
AD 24
AD 23
AD 22
AD 21
AD 20
AD 19
AD 18
AD 17
AD 16
AD 15
AD 14
AD 13
AD 12
AD 11
AD 10
AD 9
AD 8
AD 7
AD 6
AD 5
AD 4
AD 3
AD 2
AD 1
AD 0
D1
E4
J4
E3
K6
E2
E1
F4
F2
K5
F1
G4
G3
G2
G1
L5
K4
K3
M5
K2
K1
L3
N6
L2
M4
N5
M3
M2
M1
N4
P6
N3
V CC 3
CN 1 7 P IN 1 8 -3 5 -> GN D
.01 U
CO.
„qCLEVO
‚£
C L E V O C O.
´ ¯ ⁄
V GA _ V C C
C 124
T it le
SiS630S IDE INTERFA CE
Siz e
Docum ent Num ber
Cu s to m
Dat e:
71-51S00-D 02
Monday, Septem ber 18, 2000
Sheet
9
of
8 ,9 ,1 3, 14,1 6,21 ,2 3
PC I RS T #
PC I RS T #
C8 9
9
9
9
9
DD P 6
DD P 7
DD P 8
DD P 9
9 DD P 4
9 DD P 5
9 D D P1 0
9 D D P1 1
P DD P 2
P DD P 3
PD D P 1 2
PD D P 1 3
P DD P 0
P DD P 1
PD D P 1 4
PD D P 1 5
RN9
8
7
6
5
DD P 6
DD P 7
DD P 8
DD P 9
8
7
6
5
DD P 4
DD P 5
D D P1 0
D D P1 1
P DD P 2
P DD P 3
PD D P 1 2
PD D P 1 3
R N1 0
R N1 1
1
2
3
4
P DD P 0
P DD P 1
PD D P 1 4
PD D P 1 5
1
2
3
4
R N1 2
9 ID E -I R Q1 4
9 P IO R DY
9 PD R E Q
ID E -I R Q1 4
R 53 1
8P 4R -1 0
1
2
3
4
1
2
3
4
8P 4R -1 0
8P 4R -1 0
8
7
6
5
8
7
6
5
R
P DD P 6
P DD P 7
P DD P 8
P DD P 9
P DD P 4
P DD P 5
PD D P 1 0
PD D P 1 1
DD P 2
DD P 3
D D P1 2
D D P1 3
DD P 0
DD P 1
D D P1 4
D D P1 5
P DD P 6
P DD P 7
P DD P 8
P DD P 9
P DD P 4
P DD P 5
PD D P 1 0
PD D P 1 1
P PD R EQ
P PD I OW #
PP D IO R #
P P IO R DY
PP D A C K #
P HD I RQ
P PD A 1
P PD A 0
PC S 1P #
PH D _L E D #
P PD R EQ
P PD I OW #
PP D IO R #
P P IO R DY
PP D A C K #
P HD I RQ
P PD A 1
P PD A 0
PC S 1P #
PH D _L E D #
DD P 2 9
DD P 3 9
D D P1 2 9
D D P1 3 9
DD P 0 9
DD P 1 9
D D P1 4 9
D D P1 5 9
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
P DD P 7
P DD P 6
P DD P 5
P DD P 4
P DD P 3
P DD P 2
P DD P 1
P DD P 0
P DD P 7
P DD P 6
P DD P 5
P DD P 4
P DD P 3
P DD P 2
P DD P 1
P DD P 0
8P 4R -1 0
82
P HD I RQ
P IO R DY
R 53 0
10
P P IO R DY
PD R E Q
R 52 7
82
P PD R EQ
Z10 1
T
P HD I RQ
C N2 2
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
P DD P 8
P DD P 9
PD D P 1 0
PD D P 1 1
PD D P 1 2
PD D P 1 3
PD D P 1 4
PD D P 1 5
Z10 2
P DD P 8
P DD P 9
PD D P 1 0
PD D P 1 1
PD D P 1 2
PD D P 1 3
PD D P 1 4
PD D P 1 5
FD D _V CC
1
3
5
7
9
11
13
15
17
19
21
23
25
T
R 53 6
P D_ CS E L
P D_ CS E L
1 7 3MOD E #
R 74 5
Z73 8
P PD A 2
PC S 3P #
P PD A 2
PC S 3P #
R 53 5
T
R
Z10 9
0
Z11 0
Z664
C B LI DA 9
Z663
T
R 74 6
HD D _V CC
Z10 3
Z10 4
R C B LI DA
3MOD E #
Z665
T
0
9 C S 1P #
9 PD A 0
9 C S 3P #
9 PD A 1
C S 1P #
PD A 0
C S 3P #
PD A 1
1
2
3
4
R N5 0
8
7
6
5
P PD I OW #
PP D IO R #
P PD A 2
PP D A C K #
PC S 1P #
P PD A 0
PC S 3P #
P PD A 1
Z666
MT R0 #
DI R #
S TE P #
W D AT A #
W G AT E #
T R K0 #
WP#
R D AT A #
HD S E L #
I N DE X
D R V0
DS K C
T
MT R0
DI R #
S TE P
W D AT
W G AT
T R K0
WP#
R D AT
HD S E
V CC
P PD R EQ
P PD I OW #
PP D IO R #
P PD A 2
PP D A C K #
P P IO R DY
9 ID E -I R Q1 4
9 DD P 7
PC S 1P #
P PD A 0
PC S 3P #
P PD A 1
P PD R EQ
P D_ CS E L
PH D _L E D #
R 53 2
10K
P P IO R DY
R 52 9
4. 7K
ID E -I R Q1 4
R 52 5
10K
DD P 7
R 52 4
10K
P PD R EQ
R 52 8
5. 6K
P D_ CS E L
R 55 1
47 0
8
7
6
5
C D _V CC
SH D _L E D #
S S IO R DY
SH D _L E D #
R5 7
10K
S S IO R DY
R6 1
4. 7K
ID E -I R Q1 5
R5 8
10K
DD S 7
R6 2
10K
S SD R EQ
R 52 2
5. 6K
S D_ CS E L
R5 6
47 0
R N5 3
8 P 4 R-1K
R 53 4
R 62 2
10K
1K
1
2
3
4
22
10
33
33
I N DE X #
D R V0 #
DS K CH G #
A CE S _S _FD D_ FC C2 6
T
T
HD D _V CC
R 47 6
R 48 8
R 44 9
R 48 7
2
4
6
8
10
12
14
16
18
20
22
24
26
2
4
6
8
10
12
14
16
18
20
22
24
26
P P IO R DY
PH D _L E D #
PD I OW #
P D IO R #
PD A 2
P D AC K #
1
3
5
7
9
11
13
15
17
19
21
23
25
SP E E D _S _B /B _ H D D4 8
NEAR TO CONNECTOR
9 PD I OW #
9 P D IO R #
9 PD A 2
9 P D AC K #
C N1 8
9 ID E -I R Q1 5
9 DD S 7
S SD R EQ
S D_ CS E L
R D AT A #
T R K0 #
DS K CH G #
I N DE X #
3MOD E #
WP#
1 7 R D AT A #
1 7 T R K0 #
1 7 DS K CH G #
1 7 I N DE X #
1 7 3MOD E #
17 WP#
8P 4R -3 3
60
NEAR TO SiS630S
C D _V CC
HD D _V CC
V CC
V CC
FD D _V CC
V CC
L2 2
L7 1
J 32 16 HS 48 0
J 32 16 HS 48 0
9
9
9
9
DD S 7
DD S 6
DD S 5
DD S 4
9
9
9
9
DD S 3
DD S 2
DD S 1
DD S 0
DD S 7
DD S 6
DD S 5
DD S 4
R N5 1
R N5 5
5
6
7
8
DD S 3
DD S 2
DD S 1
DD S 0
5
6
7
8
R N5 4
9 ID E -I R Q1 5
9 S IO R DY
9 SD R E Q
5
6
7
8
8P 4R -1 0
8P 4R -1 0
4
3
2
1
4
3
2
1
SD D S 1 2
SD D S 1 3
SD D S 1 4
SD D S 1 5
S DD S 7
S DD S 6
S DD S 5
S DD S 4
S DD S 3
S DD S 2
S DD S 1
S DD S 0
S DD S 8
S DD S 9
SD D S 1 0
SD D S 1 1
S DD S 7
S DD S 6
S DD S 5
S DD S 4
82
CD I RQ
S IO R DY
R6 0
10
S S IO R DY
SD R E Q
R 52 3
82
S SD R EQ
9 SD A 1
9 SD A 0
9 C S 1S #
9 C S 3S #
SD A 1
SD A 0
C S 1S #
C S 3S #
8
7
6
5
R N1 3
22
10
33
33
1
2
3
4
4. 7U
S SD A 2
S SD I OW #
SS D IO R #
SS D A C K #
S SD A 1
S SD A 0
SC S 1S #
SC S 3S #
8P 4R -3 3
J 32 16 HS 48 0
C 50 1
C 50 2
. 1U
4. 7U
C 46 2
C 46 3
C 10 0
. 01U
. 1U
4. 7U
C 46 1
C9 9
. 1U
4. 7U
+ C 46 7
+ C 52 6
1 00 U / 10V
1 00 U / 10V
8 ,9 ,1 3, 14,1 6,21 ,2 3
PC I RS T #
PC I RS T #
C 48 9
C9 6
C9 7
C 49 0
C9 8
. 01U
. 1U
10U
. 1U
4. 7U
CD I RQ
S S IO R DY
S SD R EQ
S SD A 2
S SD I OW #
SS D IO R #
SS D A C K #
S SD A 1
S SD A 0
SC S 1S #
SC S 3S #
C D _V CC
R6 5
C 49 5
S DD S 3
S DD S 2
S DD S 1
S DD S 0
8P 4R -1 0
R5 9
R7 4
R7 0
R6 9
R7 1
C 52 5
. 1U
0
Z10 7
R
C D_ L
CD G ND
2 1 C D_ L
2 1 CD G ND
ID E -I R Q1 5
SD A 2
SD I OW #
S D IO R #
S D AC K #
C 48 3
. 01U
SD D S 1 2
SD D S 1 3
SD D S 1 4
SD D S 1 5
NEAR TO CONNECTOR
9 SD A 2
9 SD I OW #
9 S D IO R #
9 S D AC K #
C 48 2
2
4
3
2
1
S DD S 8
S DD S 9
SD D S 1 0
SD D S 1 1
1
D D S1 2
D D S1 3
D D S1 4
D D S1 5
8P 4R -1 0
5
6
7
8
S DD S 7
S DD S 6
S DD S 5
S DD S 4
S DD S 3
S DD S 2
S DD S 1
S DD S 0
S DD S 7
S DD S 6
S DD S 5
S DD S 4
S DD S 3
S DD S 2
S DD S 1
S DD S 0
S SD I OW #
S S IO R DY
CD I RQ
S SD A 1
S SD A 0
SC S 1S #
SH D _L E D #
S SD I OW #
S S IO R DY
CD I RQ
S SD A 1
S SD A 0
SC S 1S #
SH D _L E D #
S D_ CS E L
T
S D_ CS E L
Z10 5
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
C N1 9
A UX A L
A G ND
R E SE T #
DD7
DD6
DD5
DD4
DD3
DD2
DD1
DD0
G ND
I OW #
I O CH R DY
I RQ
DA1
DA0
C S1 FX #
D AS P #
+5V
+5V
G ND
G ND
CS E L
N .C
AU X A R
A G ND
DD8
DD9
D D1 0
D D1 1
D D1 2
D D1 3
D D1 4
D D1 5
D RQ
IO R #
G ND
D AC K #
I O CS 16 #
PD I AG
DA2
C S3 FX #
+5V
+5V
+5V
G ND
G ND
G ND
R ES E R V ED
S P EE D _ S _B /B _C DR O M5 0
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
CD _R
CD _R 2 1
S DD S 8
S DD S 9
SD D S 1 0
SD D S 1 1
SD D S 1 2
SD D S 1 3
SD D S 1 4
SD D S 1 5
S SD R EQ
SS D IO R #
SS D A C K #
Z10 6
C B LI DB
S SD A 2
SC S 3S #
S DD S 8
S DD S 9
SD D S 1 0
SD D S 1 1
SD D S 1 2
SD D S 1 3
SD D S 1 4
SD D S 1 5
S SD R EQ
SS D IO R #
Z10 8
Q3
D2
C Z11 1 A
PH D _L E D #
PH D _L E D #
R5 5
Z11 2
4. 7K
SS D A C K #
T
E
C D _V CC
C B LI DB 9
S SD A 2
SC S 3S #
Q7 4
R 54 2
Z11 4
4. 7K
HD _L E D
HD _L E D
D6 4
C Z11 3 A
C
1 S S 35 5
2N 390 6
SH D _L E D #
C
1 S S 35 5
2N 390 6
SH D _L E D #
L6 4
E
HD D _V CC
B
D D S1 2
D D S1 3
D D S1 4
D D S1 5
R N5 2
4
3
2
1
B
9
9
9
9
DD S 8
DD S 9
D D S1 0
D D S1 1
2
9 DD S 8
9 DD S 9
9 D D S1 0
9 D D S1 1
1
L7 0
B K 2125 HS 33 0
„qCLEVO
‚£
CCO.
LE VO C O .
´ ¯ ⁄
T
T itle
HDD/CDROM/FDD CONNECTOR
NEAR TO SiS630S
Size
Docum ent Num ber
Cu s tom
Date:
71-51S00-D02
Monday, Sept em ber 18, 2000
Sheet
10
of
' ƒ‡„s ¥
a“æC L OC K GENER A TOR IC
U22
1
15
19
27
30
36
42
C LKVC C
L23
CLKVCC
VC C3
B K2125H S330
6
C103 C443
C442 C109 C110 C126 C127 C125 C119 C118 C135 C108
.1U
10U
.001U
.1U
.001U .1U
.001U .1U
.001U .1U
3
16
22
33
39
.001U 470P
10
VD D
VD D
VD D
VD D
VD D
VD D
L27
47
CLKVCC2.5
B K2125H S330
C441 C438
C106 C107 C436 C437 C439 C440
.1U
.001U
10U
.1U
C104
.001U .1U
22P
.001U 470P
4
14XIN-1
Y2
VD DPCI
GNDR EF
GN D
GN D
GN D
GN D
FS 3/R EF0
R EF1
C PU2.5_3.3#/24_4 8MHz
FS0/4 8MHz
GN DPCI
22P
S DRA M_F0
S DRA M_F1
S DRA M0
S DRA M1
S DRA M2
S DRA M3
S DRA M4
S DRA M5
S DRA M6
S DRA M7
VD DLCP U
GN DL
CPU _ST OP#
P D#
SD RAM_STO P#
P CI_ST OP#
X1
R94
1 4.318M_E
C136
44
7
8
9
11
12
13
14
FS1/PC IC LK_F
FS2 /PC IC LK1
PC IC LK2
PC IC LK3
PC IC LK4
PC IC LK5
PC IC LK6
CLKV CC2.5
V2.5
45
43
46
C PUC LK1
C PUC LK2
C PUC LK_F
V DDR EF
1M
5
14XIN-2
S DAT A
SC LK
X2
66MH z-1
66MH z-2
Z119
P CI-2
P CI-3
P CI-4
P CI-5
P CI-6
R88
R479
R458
R457
R456
R433
R434
14-1
R480
R478
25
26
MOD E
R99
R98
40
41
28
29
31
32
34
35
37
38
Z120
Z121
Z122
Z123
630SDCLK-1
20
18
17
21
Z127
Z126
Z128
Z687
23
24
S DA_A T FF
S CL_A T FF
2
48
22 HCLKCPU
22 630CLK
R477
R455
T
T
T
T
T
MEMCLK-1
MEMCLK-2
MEMCLK-3
MEMCLK-4
Z124
C424
C411
C426
C414
C413
C412
C397
C398
C427
C425
C382
10P
10P
10P
10P
10P
10P
10P
10P
10P
10P
10P
T
T
T
S DA_A T FF 15,23
S CL_A T FF 15,23
R685
R686
10K
10K
C394
C395
C396
C409
C410
10P
10P
10P
10P
10P
HC LKCP U 1
630C LK 3
FS1
FS2
33 PICCLK
33 PCLKT I
33 83626CLK
33
33
33
33
FS1
FS2
PICC LK 1
PC LKTI 14
83626C LK 16
80PORT_C LK 23
869_PC LK 17
A GP_C LK 6
630PC LK 9
80PORT_C LK
869_PC LK
A GP_C LK
630PCLK
FS3
22 VOSCI
22 83626CLK-14
FS3
V OSCI 6
836 26CLK-14
10K
22 U SBC LK
FS0
VC C3
U SBC LK 12
FS0
MEMCLK1
MEMCLK2
MEMCLK3
MEMCLK4
R430
R431
R432
R453
22
22
22
22
R454
22 630SDCLK
R93
0
R415
0
16
MEMC LK1
MEMC LK2
MEMC LK3
MEMC LK4
5
5
5
5
6 30SDC LK 3
CP U_ST P#
CP U_ST P# 1,12,20
61
IC S9248-135
R735
10K
VC C3
C LKVC C
R508
100K
VR_ON 24,27
C454
.1U
VC C3
FS0
FS0
R411
8
7
6
5
2.7K (R)
VC C3
V2.5
U18
GN D E N
GN D
IN
GN D OU T
GN D A DJ
MIC5209B M
1
2
3
4
VC C3
C451
.1U
R414
R403
R402
R401
VC C3
2.7K
2.7K
2.7K
2.7K
Z115
Z116
Z117
Z118
1
2
3
4
S3
8
7
6
5
R413
R412
R399
R398
3,4
3,4
3,4
3,4
10K
10K
10K
10K
MD D44
MD D43
MD D42
MD D41
MDD44
MDD43
MDD42
MDD41
R520
R521
R519
R518
4.7K (R)
4.7K (R)
4.7K (R)
4.7K (R)
C450
R507 .1U
C101
4.7U
10K_1%(0805)
R497
VRIOSE T
10.2K_1%
HCH_ DIP SW _4
FS0
BS EL1
FS1
FS2
FS3
FS0
1 BS EL1
FS1
FS2
FS3
CP U Frequ e ncy Ratio Se le ct
R400
MD D44
(N MI)
10K (R)
0 = OFF
1 = ON
SiS630S CLOCK
(FS3)
S W2-4
(FS2)
(FS1)
S W2-3 S W2-2
(FS0)
S W2-1
CP U
(MH z)
S DRA M
(MH z)
PCI
(MH z)
R EF
(MH z)
0
0
0
1
100
100
33.33
14.318
0
1
0
1
100
133
33.33
14.318
0
1
1
1
133
133
14.318
33.33
N OTE: PC ICLK <37.5MHz
1/2
1/3
1/4
1/5
2/5
2/7
2/9
2/11
1/6
1/7
1/8
Res erved
2/13
2/15
2/3
1/2
MD D43
(INTR)
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0 = Non-ST UFF
1 = ST UFF
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
VC C3
MD D42
MD D41
(A20M#) (IGN NE#)
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
C679
1 000P
C680
1 000P
C681
1 000P
C682
1 000P
FOR EMI
VC C3
V2.5
C683
C684
C685
1 000P
1 000P
1 000P
FOR EMI
FOR EMI
C686
1 000P
FOR EMI
´¯ ⁄
„qCLEVO
‚£
C CO.
L E VO C O.
T it le
CLO CK G E NE R A TO R
Size
Docum ent Num ber
C us tom
Date:
Monday, Septem ber 18, 2000
Rev
A
71-51S 00-D02
Sheet
11
of
29
VC C 3
V
VD D 3
8
7
6
5
VC C 3
R 475
R 485
R 484
4 .7 K
4 .7 K
4 .7 K
8 P 4 R - 4 .7 K
R 353R 368R 385
R 683
10K
10K
SU S_ L ED #
E X TS MI#
630P W R S W #
SCI
W A KE #
TAL ER T #
B7
A7
D8
0 Z1 30 C 8
B8
V R _ H I/L O #
G C L _ L O /H I#
G V_ G ATE
A C IN # R 3 5 4
L _ TXD 3
1 5 V R _ H I/L O #
1 G C L _ L O /H I#
G V_ G ATE
2 0 ,2 2 A C IN #
1 3 L _ TXD 3
10K
E1 1
C7
B1 2
E1 0
B6
A6
D 13
P W R _ON #
1 9 PW R _ O N #
SU S_ L ED #
1 9 E X T S M I#
2 2 6 3 0 PW R SW #
1 9 SC I
1 9 W AKE#
2 TAL ER T #
Z 1 3 1A E 7
Z 1 3 2A H 2
T
T
4 .7 K E N T E S T N 2
R 105
L _ TXD 0
L _ TXD 1
L _ TXD 2
L _ R XER
1 3 L _ TXC L K
13 L_C OL
13 L_C R S
L _ TXD 0
L _ TXD 1
L _ TXD 2
L _ R XER
L _ TXC L K
L_C OL
L_C R S
C 12
D 12
E1 7
F1 2
C 10
F1 4
C6
1 3 L_ M D C
1 3 L _ R XC L K
L_ M D C
L _ R XC L K
D9
D 10
PCSPK
E1 8
S P D IF
E1 2
8
8
8
8
2 0 PC SPK
2 1 S P D IF
1 3 ,2 1 A C _ R S T #
B1 0
A1 0
Z6 52
A1 3
A C _S Y N C
B1 3
AC _R S T#
D 11
C 13
Z6 53
PSO N #
A C P IL E D
E X T S M I#
PW R BT N #
R IN G
PM E#
TH ER M#
K B D A T /G P 1 0
K B C L K /G P 1 1
PM D A T /G P 1 2
PM C L K /G P 1 3
K L O C K# /G P 1 4 /T X D
AG 4
0 AF 4
1 AJ 4
2 AE5
3
AF 5
LD R Q # AH 4
L F R AM E# AG 5
S IR Q
NMI
S M I#
IN T R
A2 0 M #
IN IT #
IG N N E #
FER R #
ST PC L K#
C P U S T O P # /C P U S L P
C PU
In te r fa c e
KB C
In te r fa c e
R ESER VE2
R ESER VE1
SM C L K
SM B
SM BD AT
In te r fa cT XeE N /G P 1 5 /S M B A L
EN T EST
G P 0 /P R E Q # 3 /T XD [
G P 1 /P G N T # 3 /T XD [
G P 2 /L D R Q 1 # /T XD [
G P 3 /R X E R
G P 4 /T X C L K
G P5 /C O L
G P6 /C R S
M AC
In te r fa c e S iS 63 0S -5
G P 8 /M D C
G P 9 /R X C L K
US B
In te r fa c e
SPK
U SBC L K4 8 M
AC _ SYN C
A1 2
0
1
2
3
AU XO K
BATO K
PW R O K
R TC VSS
L55
S D A TI -M
B K1 6 0 8 H S 3 3 0
R 340
C 307
C 319
C
C
100K
L48
SD ATAI
XD
XD
XD
XD
C 170
C
C
4 .7 K
U V 0U V 0+
U V 1U V 1+
U V 2U V 2+
U V 3U V 3+
U V 4U V 4+
U V 5U V 5+
1
.1 U
4 .7 U
R 351
470K
R 339
L _ R XD V1 3
L _ M D IO 1 3
U SBVC
.0 1 U
560K
U SBVC C
106
114
394
107
393
115
116
382
381
383
15K
15K
15K
15K
15K
15K
15K
15K
15K
15K
22
22
U SBP0 +
U S B P0 -
L41
L38
L40
C 370
R 352
15K
C 338C 346
47P
47P
R 122
15K
22P
22P
1
3
2
4
5
6
C N 12
V+
U SB+
U SB GND
GND
GND
U SB_ R _ T 4
NEAR TO CONNECTOR
NEAR TO SiS630S
U S B CLK
Z1 37
Z1 38
Z1 39
J3 2 1 6 H S4 8 0
N 1 6 08 Z 3 0 1
N 1 6 08 Z 3 0 1
C 361
U SBC L K 1 1
C 350
VD D 3
C
R (0)
R 364
3
PW R G O O D
150K
MR #
4
Z1 40
R 365
10K
R ESET#
1
P W R OK
VC
5
PW R
C 360
R S T - IN
VC C
GND
2
C _0805
L_R
L_R
L_R
L_R
D 5 Z1 35 R 68 4
S iS 6 3 0 S
M AX 6 3 0 6
100K
L _ R XD 0
L _ R XD 1
L _ R XD 2
L _ R XD 3
XD
XD
XD
XD
.1 U
01 3
11 3
21 3
31 3
10 25MH z
T
C 663
10P
Z7 28
3
1
Y3
O SC
VC C
NC
GND
25 M O SC
VC C 1 .8 R 3 4 1
61.9K _1%
R 330
1 0 0 K_ 1 %
Z5 87
3
4
2
10M
Y4
U SBVC C
C 3 3 9 PO L YFU SE
OC 0#
R 384
R 395
R
R
R
R
R
R
R
R
R
R
4 .7 K
C 143
VC C 3
U 31
C 692
.1U
VC C A
4
VC C
1 PW R G O O D
R ESET#
1
P W R OK
PW R
VC C
5
PW R G O O D
VC C 3
GND
2
C 325C 145
L T C 1 7 2 8 ES5-5
Q91A
D T B 1 1 4 EK ( S O T - 3 2 3 )
2
3 2 .7 6 8 K _ C
C 333
C 332
10P
10P
.1 U
PW R _O N
VD D 3
.1 U
P W R _ O N1 9 ,2 2 ,2 3 ,2 5 ,2 8 ,2 9
Q91
D T B 1 1 4EK( SO T - 23 )
R 445
C 163
4 .7 K
R 579
F3
SM BC L K 2 3
SM BD ATA 2 3
L _ TXEN 1 3
L _ R XD V
L _ M D IO
C9
[0 ] B 9
[1 ] E 1 3
[2 ] A 9
[3 ]
Z6 51
B K1 6 0 8 H S 3 3 0
4 .7 K
R 633
4 .7 K
C 347
OC 0#
OC 0#
S MB CLK
S MB D A TA
L _ TXEN
D 5 1 1 S S 3 5 5 (R )
A
C O C 0#
Z6 50
R 308
1 3 ,2 1 S D A T A I
R
R
R
R
L AN C L K2 5 M
Z1 34
1 3 S D A T I- M
R 555
4 .7 K
C 141
M II
In te r fa c e
F1 3
F1 7 VSSA
N 2 4 VSSB
U 2 4 VSSC
VSSD
R TC V D D
B4
A3
4 .7 K
U 28
RT C
In te r fa c e
O SC 3 2 KH O
R TC V D D A 4
R 444
J3 2 1 6 H S4 8 0
VC C
R 363
O S C 3 2 KH I
R TC V D D
4 .7 K
Z1 36
VC C
1 PW R G O O D
R 123
C 678
.1 U
4 .7 K ( R )
L39
NMI 1
S M I# 1
IN T R 1
A2 0 M # 1
IN IT # 1
IG N N E # 1
FER R #1
S T P C L K # 1 ,2 0
C P U _ S T P # 1 ,1 1 ,2 0
AC _ R ESET#
A C _ B IT _ C L K
B5
A5
C5
C4
A U X OK
B A TO K
P W R OK K
4 .7 K
R 585
L _ TXC L K
R 634
L_C OL
L_C R S
R 575
L_ M D C
L _ R XC L K
R 556
L _ TXEN
16
16
16
16
VC C 3
AU XO K
2 3 BATO K
1 PW R O KK
R 563
R 564
L D R E Q #1 6
L F R AM E# 1 6
S IR Q 1 4 ,1 6 ,1 7
N MI
S MI#
I NTR
A 20M#
I NI T#
I G N NE #
FE RR #
STP C LK #
C P U _S TP #
B2
U SBVD D 0 B3
U SBVD D 1
A C97
In te r fa c e
A C _ SD O U T
AH 3
AG 3
A8
LAD
LAD
LAD
LAD
LD R EQ #
LFR A ME #
S IR Q
C 18
D 16
D 18
B1 7
A1 7
B1 8
A1 8
C 17
D 17
F1 0
R X D V /O C 0 # D 6
M D IO /O C 1 #
H5
U V0 - J 5
U V0 + G 5
U V1 - H 6
U V1 + E5
U V2 - F 5
U V2 + E6
U V3 - F 6
U V3 + F8
U V4 - E 7
U V4 + E8
U V5 - D 7
U V5 +
G P 7 /S P D IF
A C _ S D IN [1 ]
A C _ S D IN [0 ]
LA D 0
LA D 1
LA D 2
LA D 3
LAD
LAD
LAD
LAD
LPC
In te r fa c e
A CPI
In te r fa c e
Z1 33
62
1 3 ,2 1 A C _ S Y N C
Z6 50
Z6 51
4 .7 K
1
2
3
4
U 25E
R 562
L _ TXD 0
L _ TXD 1
L _ TXD 2
S P D IF
L _ R XER
4 .7 K
R N 14
P W R _ON #
1 9 PW R _ O N #
100K
VD D 3
R 83
1 3 ,2 1 S D A T A O
S D A TA O
A U X OK
1K
L56
R 473
Z6 52
B K1 6 0 8 H S 3 3 0
D
C 115
S
Q66
2N 7002
G
Z 1 4 3R 4 7 4
1 K S H UTD O W N
100K
C 322
C 321
C
C
VC C VC C 3
SH U T D O W N2 2 ,2 8
C 696
R 408
R 392
.4 7 U
4 .7 K
10K
2 4 G C L _ G ATE
VC C 3
L54
1 3 ,2 1 A C _ B C L K
VC C 3
R 113
10K
PW R G O O D P
R 380
10K
D
Z1 41
2 2 U /1 0 V_ 1 2 1 0
A C _B CLK
AU XO K
Z6 53
R 446
10K
R 87
0
G V_ G ATE
G
G C L _ G ATE
Q61
S 2N 7002
Q12
S 2N 7002
C
D 85
G V_ G ATE
G
D
A
F0 1 J 2 E
B K1 6 0 8 H S 3 3 0
C 299
C 351
C
1 0 P (R )
SU S_ L ED #
SU S_ L ED #
SU SPEN D #
SU SPEN D
G
S
Q8
D N D S0 6 1 0
SU SPEN D #1 4
SU SPEN D 2 2
C
B
´¯ ⁄
Q65
E D TD 1 14EK
„q ‚CLEVO
£ C L E VCO.
O C O.
Ti tle
S i S 6 3 0 S C P U ,L P C,L A N ,U S B IN TE
Si ze
SU S_ L ED
SU S_ L ED 2 0
C u s to m
Doc ument N umber
7 1 -5 1 S 0 0 -D 0 2
D ate: Monday, S eptember 18, 2000
S heet
12
o
LRX+
L RX +
R6 01
5 6.2 _1 %
Transformer
C5 64
.1 U Z660
C5 73
R6 05
5 6.2 _1 %
C
LRX-
LRX -
C6 23
C Z1 44
T
Z1 45
1
2
3
4
L 80
RX +
RX RX C
16
15
14
RD+
RD RDC
NC
13
NC
T
PLACE NEAR THE TRANSFORMER
7
8
6
LT X+
LT X-
LT X+
LT X -
R6 35
R6 36
6 1.9 _1 %
T
Z1 46
5
T X+
TX T XC
T D+
TDT DC
NC
NC
Z1 56
10
9
11
Z1 49
12
Z1 50
T D+
T D-
C6 22
.1 U
C
R6 44
7 5_ 1% (0 80 5 )
7 5_ 1% (0 80 5 )
R3 7
R3 1
7 5_ 1% (0 80 5 )
Z1 59
T
7 5_ 1% (0 80 5 )
Z1 60
Z1 61
T
T
J 32 16HS 48 0
Z2 92
C
HRT XRXN
HRT XRXP
1
2
HRS _ R_ HE A D2
R
R6 60
L 12
C6 31
B K 1 608HS 1 2 1
B K 1 608HS 1 2 1
HRS _R_ RJ 4 5
T
Z659
T P _ CP
T P _ CP
L 84
L 83
HC _R_ RJ 1 1
L F-H4 9 P
6 1.9 _1 %
CN2 7
Z1 57
Z1 58
2
1
1
2
3
4
5
6
7
8
Z1 54
Z1 48
CN2 5
CN2 4
RD+
RD Z1 47
Z1 62
Z1 63
T
T
C6 30
V DD3
.0 1U/ 2 K V (12 1 0)
C
V CC3
S DA T A O
A C_ RS T #
P CI RS T #
MCL K
1 2,2 1 S DA T A O
1 2,2 1 A C_ RS T #
8 ,9, 10, 1 4,1 6 ,21 ,23
P CI RS T #
2 1 MCL K
R2 5
R2 6
R6 78
0
0 (R )
R
AC_RESET #
Z6 84
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
CN2 6
MONO_ OUT
A UDI O_ P D
GND
M ONO_ P HONE
A UX R
R_ D
A UX L
GND
CDGND
V CC
CD_ R
R_ D
CD_ L
R_ D
GND
P _ DN
3 .3V
V CC
GND
GND
3 .3V
S Y NC
S DA T A _O
S DA T A _I NB
RE S E T #
S DA T A _I NA
GND
GND
MCL K
B CL K
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
Z1 64
P HONE
Z6 85
T
P HONE
21
V CC
Z1 65
Z1 66
Z1 67
Z6 86
1 0K
T
R
T
T
A C_ S Y NC
S DA T I-M
R6 77
R S DA T A I
A C_ B CL K
A C_ S Y N
S DA T I-M
S DA T A
A C_ B CL
MODE M
V CC3
R5 91
1 .5K
1 2 L _COL
1 2 L _CRS
1 2 L _MDC
1 2 L_ MDIO
12
12
12
12
L _RX D0
L _RX D1
L _RX D2
L _RX D3
8 L _T X DD0
8 L _T X DD1
8 L _T X DD2
1 2 L _T X D3
R6 28
R6 27
22
22
COL
CRS
49
50
L _MDC
L_ MDIO
R5 92
R5 90
22
22
MDC
MDI O
31
30
L _RX CLK
L _RX DV
L _RX E E R
R6 06
R6 02
R5 76
22
22
22
RX CL K
RX DV
RX E R
38
36
39
RX D0
RX D1
RX D2
RX D3
35
34
33
32
L _RX D0
L _RX D1
L _RX D2
L _RX D3
RN6 0
4
3
2
1
8 P 4R-2 2
5
6
7
8
L _T X DD0
L _T X DD1
L _T X DD2
L _T X D3
RN6 2
1
2
3
4
8 P 4R-2 2
8
7
6
5
45
46
47
48
T X D0
T X D1
T X D2
T X D3
COL
CRS
V DD_ D
V D D_I O
V D D_I O
V D D_I O
MDC
MDI O
RX CL K
RX DV
RX E R
RX D0
RX D1
RX D2
RX D3
V DD_ T
V DD_ T
V DD_ R
V DD_ R
V DD_ R
Med ia
Ind ep en dent
Interface
(MII)
T P _ RX +
T P _RX -
Tranform er T P _ T X +
T P _T X Interface
T X D0
T X D1
T X D2
T X D3
T P _ CP
NC
22
22
T X CL K
T XEN
43
44
R6 08
R6 07
1K
1K
T XER
RX T R I
42
41
(RX T RI=0 T ri-S tate Off)
4
61
11
12
17
22
58
28
29
40
56
57
T X CL K
T XEN
PHY
Add ress
T XER
RX T R I
VSS_T
VSS_T
VSS_D
V S S _I O
V S S _I O
V S S _I O
VSS_D
P0AC
P 1 CL
P 2 LI
P3T D
P 4 RD
NOD/ RE P
MI I/S I
1 0/1 00 S E L
DP X S E L
A NS E L
L OCK
Config urationL S T A
HW /S W
Pins
VSS_R
VSS_R
VSS_R
VSS_R
VSS_R
RE F_IN
R5 77
R5 78
53
L _T X CLK
L _T X E N
RE F_OUT
1 2 L _T X CLK
1 2 L _T X E N
52
63
1 2 L _RX CLK
1 2 L _RX DV
8 L _RX E E R
V CC3
U4 5
L _COL
L _CRS
1 0T CS R
1 00 T CS R
RE S E T N
25
37
54
51
C6 06
C5 53
C5 65
C6 07
.1 U
.1 U
.1 U
.1 U
C5 86
C5 51
C6 05
C5 74
B K 2 125HS 3 3 0
C5 63
.1 U
.1 U
.1 U
.1 U
.1 U
L 72
Z2 91
7
63
8
15
16
13
14
L RX +
LRX -
L RX +
LRX -
5
6
LT X+
LT X -
LT X+
LT X -
3
T P _ CP
20
Z1 68
55
59
60
62
64
1
19
2
24
26
27
21
23
9
10
18
T P _ CP
T
P0AC
P 1 CL
P 2 LI
P3T D
P 4 RD
NOD/ RE P
MI I/S I
Z1 69
Z1 70
Z1 71
Z1 72
Z1 73
HW /S W
T
T
T
T
T
R6 79
R6 32
R6 31
R6 30
R6 29
R6 26
R6 80
R
1K
1K
1K
1K
1K
R
R6 18
R5 88
1K
1K
V CC3
---> Trace Width : 24 mils
V CC3
(A DD0 )
(A DD1 )
(A DD2 )
(A DD3 )
(A DD4 )
S e t P HY ad d res s =0 000 1
1 .HW / S W =1
S e t a s S W m o de
2 .MII/ S I=0
S e t as MII m o de
R5 89
1K
R5 74
12 .1 K _ 1% (08 0 5)
1 00 T CS R
R5 72
2 K _1% (08 05 )
1 0T CS R
R5 73
1. 54 K _ 1% (06 0 3)
RE S E T -
R5 87
1 0K
V CC3
3 .NO D/RE P =0
NODE Ope ratio n
V CC3
S e t tran s m i tt in g d riving c u rren t
I n S W m od e
ICS 189 3
V CC3
P i n2 (1 0/ 100 S E L ) is out p ut, s h o w no w i s 1 0 MHz or 10 0MHz
L ow(0) i s 10 B a s e -T , Hi g h(1) is 10 0B a s e-T
C5 52
P in 24 (D P X S E L ) is o utp u t, s ho w now is H al f or Ful l
L ow(0 ) is Ha l f-Du pl ex, Hi g h(1 ) is Full -Du ple x
.1 U
C7 8
1 0P
RE F_OUT
Y1
25 MHz_ E
C7 7
1 0P
P i n2 6 (A N S E L) i s o u tpu t, s h ow A ut o-Neg oti ta ti o n is E na bl e o r Dis a bl
L ow(0 ) is Dis ab le, Hig h(1) i s E n ab le
R7 34
1M
RE F_IN
´ ¯ ⁄
„q ‚CLEVO
£
C LCO.
EV O C O .
T itle
ICS 1 89 3 L A N P HY & M DC
Size
Docum ent Num ber
B
Dat e:
7 1-5 1S 0 0-D02
M onday, Septem ber 18, 2000
Sheet
13
of
V CC 3
R 5 57
0 (12 06 )
R 5 58
3
1 0K (R)
PME#
1 9 P ME #
4
1
C 5 35
IN
OU T
IN
OU T
EN
OU T
GN D
OC #
R 5 59
B _ V CC_ C
V C C 3_ A U X
U 13
2
8
7
6
5
V C C3 _ A U X
B_VCC _C
C 5 34
1
2
3
4
.1 U
Z 1 74
T
C 5 07
C 5 37
C 5 39
C 5 08
C 4 94
C 4 93
C 5 09
C 5 36
C 4 97
C 4 92
C 5 17
C 4 98
.1 U
.1 U
.01 U
.01 U
.01 U
.01 U
.1 U
.1 U
.1 U
.1 U
4 .7 U
4 .7 U
1
2
3
4
T P S 2 0 32 (R)
.1 U
E N = " H" , V in = V ou t
1
2
3
4
5
T
1 9 P ME #
Z 1 75
3
PME#
1
U 15
IN 1
OU T
4
C 5 15
IN 2
EN #
GN D
C 5 16 T P S 2 1 00 (R)
2
.1 U
.1 U
E N# = "L " , V in1 = V out
64
9 ,23 C /B E # [0..3]
V C C3 _ A U X
8 ,9 ,10 ,1 3,1 6,2 1,2 3
P CIRS T #
R 5 37
10 K
AD21
R 5 43
1 00
INT#A
R 5 41
0 (R)
V C C3 _ A U X
9 AD 21
9 INT # A
R 5 39
0 (R)
ZV S E L 0#
2 0 P C _ RING #
1 2,1 6,1 7 S IR Q
ZV S E L 1#
L ED _SK T
9 ,17 C L K RUN #
V C C3 _ A U X
R 5 40
47 K
47 K
ZV S E L 0#
ZV S E L 1#
L ED _SK T
R 63
12
27
37
48
G RS T #
R 5 38
0
FR A ME #
IRDY #
T RDY #
D E V S E L#
S T OP #
P E RR #
S E RR #
PA R
PR EQ#0
P G NT # 0
P CL K T I
66
20
28
29
31
32
33
34
35
36
1
2
21
59
70
P ME #
Z 1 77
13
T I_INT A #
ZV S E L 0#
P C _ RING #
S IR Q
ZV S E L 1#
L ED _SK T
C L K RUN #
60
61
64
65
67
68
69
S P K R OU T
62
AD 31
AD 30
AD 29
AD 28
AD 27
AD 26
AD 25
AD 24
AD 23
AD 22
AD 21
AD 20
AD 19
AD 18
AD 17
AD 16
AD 15
AD 14
AD 13
AD 12
AD 11
AD 10
AD 9
AD 8
AD 7
AD 6
AD 5
AD 4
AD 3
AD 2
AD 1
AD 0
PCI1410
C/B E 3 #
C/B E 2 #
C/B E 1 #
C/B E 0 #
G RS T #
P RS T #
FR A ME #
IRDY #
T RDY #
D E V S E L#
S T OP #
P E RR #
S E RR #
PA R
RE Q #
GNT #
P CL K
R I_O UT #/P ME #
S U S P E ND #
IDS E L
MF0/INT A #
MF1/ZV S E L 0#
MF2/P C _ RING #
MF3 /S E R IR Q
MF4/ZV S E L 1#
MF5/L E D _ S K T
MF6/C L K RUN #
S P K R OU T
63
D 1 5/CA D 8
D 1 4/CR SV D
D 1 3/CA D 6
D 1 2/CA D 4
D 1 1/CA D 2
D1 0/C A D 3 1
D 9 /C A D 30
D 8 /C A D 28
D 7 /CA D 7
D 6 /CA D 5
D 5 /CA D 3
D 4 /CA D 1
D 3 /CA D 0
D2 /CR S V D
D 1 /C A D 29
D 0 /C A D 27
IN P A C K # /C RE Q #
IO RD # /C AD 13
IOW R # /C A D 15
OE # /C A D 11
W E # /C GNT #
W P (IOIS 1 6 # )/CC L K RUN #
W A IT # /CS E RR #
R E G # /C C/B E 3 #
RE A D Y (IR E Q # )/CINT #
R E S E T /C RS T #
B V D1 (S T S CHG # /RI#)/CS T S CH G
B V D2 (S P K R # )/C A U DIO
CD1 #/C CD 1 #
CD2 #/C CD 2 #
CE 1 #/C C/B E 0 #
CE 2 #/C A D 1 0
V S 1 #/CV S 1
V S 2 #/CV S 2
4
3
2
1
V C C3 _ A U X
B _ C A [0..25 ]
B _ C A [0..25 ]
V CCI
1 38
1 22
1 02
86
50
30
14
VC C
VC C
VC C
VC C
VC C
VC C
VC C
44
18
V CC P
V CC P
1 26
90
V C CC B
V C CC B
A 2 5/C A D 1 9
A 2 4/C A D 1 7
A 2 3/C FR A ME #
A 2 2/CT RDY #
A 2 1/CD E V S E L#
A 2 0/CS T OP #
A 1 9/C B L OCK #
A 1 8/CR SV D
A 1 7/C A D 1 6
A 1 6/CCL K
A 1 5/CIRDY #
A 1 4/CP ERR #
A 1 3/C P A R
A 1 2/C C/B E 2 #
A 1 1/C A D 1 2
A 1 0/CA D 9
A 9 /C A D 14
A 8 /C C/B E 1#
A 7 /C A D 18
A 6 /C A D 20
A 5 /C A D 21
A 4 /C A D 22
A 3 /C A D 23
A 2 /C A D 24
A 1 /C A D 25
A 0 /C A D 26
6
22
42
58
78
94
1 14
1 30
2 0 S P K R OU T
R 64
C/B E # 3
C/B E # 2
C/B E # 1
C/B E # 0
P CIRS T #
9 ,23 FR A ME #
9 ,23 IRDY #
9 ,23 T RDY #
9 D E V S E L#
9 S T OP #
9 P E RR #
9 S E RR #
9 PA R
9 PR EQ#0
9 P G NT # 0
1 1 P CL K T I
1 9 P ME #
S U S P E ND #
1 2 S U S P E ND #
C /B E # [0..3]
3
4
5
7
8
9
10
11
15
16
17
19
23
24
25
26
38
39
40
41
43
45
46
47
49
51
52
53
54
55
56
57
1 16
1 13
1 11
1 09
1 07
1 05
1 03
1 00
98
1 08
1 10
1 04
1 01
1 12
95
89
97
99
1 15
1 18
1 20
1 21
1 24
1 27
1 28
1 29
B _ CA 2 5
B _ CA 2 4
B _ CA 2 3
B _ CA 2 2
B _ CA 2 1
B _ CA 2 0
B _ CA 1 9
B _ CA 1 8
B _ CA 1 7
B _ CA 1 6
B _ CA 1 5
B _ CA 1 4
B _ CA 1 3
B _ CA 1 2
B _ CA 1 1
B _ CA 1 0
B _ CA 9
B _ CA 8
B _ CA 7
B _ CA 6
B _ CA 5
B _ CA 4
B _ CA 3
B _ CA 2
B _ CA 1
B _ CA 0
87
84
82
80
77
1 44
1 42
1 40
85
83
81
79
76
1 43
1 41
1 39
B _ CD 1 5
B _ CD 1 4
B _ CD 1 3
B _ CD 1 2
B _ CD 1 1
B _ CD 1 0
B _ CD 9
B _ CD 8
B _ CD 7
B _ CD 6
B _ CD 5
B _ CD 4
B _ CD 3
B _ CD 2
B _ CD 1
B _ CD 0
B _ CA 2 5
B _ CA 2 4
B _ CA 2 3
B _ CA 2 2
B _ CA 2 1
B _ CA 2 0
B _ CA 1 9
B _ CA 1 8
B _ CA 1 7
B _ CA 1 6 R 6 14
B _ CA 1 5
B _ CA 1 4
B _ CA 1 3
B _ CA 1 2
B _ CA 1 1
B _ CA 1 0
B _ CA 9
B _ CA 8
B _ CA 7
B _ CA 6
B _ CA 5
B _ CA 4
B _ CA 3
B _ CA 2
B _ CA 1
B _ CA 0
B _ C D[0..15 ]
47
B _ CD 1 5
B _ CD 1 4
B _ CD 1 3
B _ CD 1 2
B _ CD 1 1
B _ CD 1 0
B _ CD 9
B _ CD 8
B _ CD 7
B _ CD 6
B _ CD 5
B _ CD 4
B _ CD 3
B _ CD 2
B _ CD 1
B _ CD 0
Z 1 76
56
55
54
53
50
49
48
47
46
19
20
14
13
21
10
8
11
12
22
23
24
25
26
27
28
29
41
40
39
38
37
66
65
64
6
5
4
3
2
32
31
30
1 23
93
96
92
1 06
1 36
1 33
1 25
1 32
1 19
B _ INP A C K
B _ IORD #
B _ IOW R #
B _ OE #
B_W E #
B_W P #
B _ W A IT #
B _ RE G #
B _ RD Y B Y #
B _ RE S E T
60
44
45
9
15
33
59
61
16
58
1 35
1 34
75
1 37
88
91
1 31
1 17
B_B VD 1#
B_B VD 2#
B _ CD 1 #
B _ CD 2 #
B _ CE 1 #
B _ CE 2 #
B _VS 1
B _VS 2
63
62
36
67
7
42
43
57
RN 5 8
RN 5 6
RN 5 7
B_B VD 1#
B_B VD 1#
8 P 4R -4 3 K
8
7
6
5
B_B VD 2#
B _ RD Y B Y #
B _ W A IT #
B_W P #
B_B VD 2#
B _ RD Y B Y #
B _ W A IT #
B_W P #
8 P 4R -4 3 K
8
7
6
5
B _ RE S E T
B _ INP A C K
B _ CA 1 5
B _ CA 2 2
B _ RE S E T
B _ INP A C K
B _ CA 1 5
B _ CA 2 2
8 P 4R -4 3 K
8
7
6
5
B _ CA 1 9
B _ CA 1 4
B _ CA 2 0
B _ CA 2 1
B _ CA 1 9
B _ CA 1 4
B _ CA 2 0
B _ CA 2 1
8 P 4R -4 3 K
5
6
7
8
B _ CD 2 #
B _VS 1
B _VS 2
B _ CD 1 #
B _ CD 2 #
B _VS 1
B _VS 2
B _ CD 1 #
CN 8
A 25
A 24
A 23
A 22
A 21
A 20
A 19
A 18
A 17
A 16
A 15
A 14
A 13
A 12
A 11
A 10
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0
D 15
D 14
D 13
D 12
D 11
D 10
D9
D8
D7
D6
D5
D4
D3
D2
D1
D0
INP A C K
RF U(IO RD)
R FU(IOW R)
OE
W E /P G M
W P (IOIS 16 )
W A IT
RE G
R DY /B S Y /(IR E Q)
RE S E T
B V D1 (S T S C HG)
B V D 2 (S P K R)
CD 1
CD 2
CE 1
CE 2
R FS H (V S 1)
RFU (V S 2)
C 4 91
C 5 38
.01 U
.01 U
B _ V CC_ C
VC C
VC C
17
51
C 5 77
C 5 66
C 5 76
C 5 67
C 5 68
.1 U
.1 U
.1 U
.1 U
4 .7 U
B _VP P
VPP 1
VPP 2
GN D
GN D
GN D
GN D
18
52
C 5 78
C 5 69
.1 U
4 .7 U
1
34
35
68
HR S _ 5 1L _P CM_ R
GN D
GN D
GN D
GN D
GN D
GN D
GN D
GN D
V C C 3_ A U X
AD 31
AD 30
AD 29
AD 28
AD 27
AD 26
AD 25
AD 24
AD 23
AD 22
AD 21
AD 20
AD 19
AD 18
AD 17
AD 16
AD 15
AD 14
AD 13
AD 12
AD 11
AD 10
AD 9
AD 8
AD 7
AD 6
AD 5
AD 4
AD 3
AD 2
AD 1
AD 0
V PPD 1
V PPD 0
A D[0 ..3 1 ]
9 ,23 A D[0 ..3 1 ]
VDD3
V C CD 1 #
V C CD 0 #
U 16
72
71
V PPD 0
V PPD 1
V C CD 0 #
V C CD 1 #
V PPD 0
V PPD 1
V C CD 0 #
V C CD 1 #
74
73
15
15
15
15
43 K
RN 5 9
P C I1 41 0
ZV S E L 0#
ZV S E L 1#
L ED _SK T
´ ¯ ⁄
„qCLEVO
‚£
CCO.
L E V O C O.
T it le
47 K
PCMCIA -TI1410
Size
B
Dat e:
Docum ent Num ber
71-51S00-D02
Monday, Septem ber 18, 2000
Sheet
14
of
VCC3
C278
U39
4
5
6
7
8
CV ID0
CV ID1
CV ID2
CV ID3
CV ID4
CV ID0
CV ID1
CV ID2
CV ID3
CV ID4
11,23 SCL_A T FF
11,23 SDA_A T FF
SCL_A T FF
SDA_A T FF
1
2
12 VR_H I/LO#
VR_H I/LO#
16
R265
18
10
1K (R) Z178
S CL
SD A
OV ER RID E #
LEV E L
N ON_MUX_OU T
WP
GN D
AS E L
9
+12V
U14
12V
BV C C
BV C C
BV C C
C86
15
14
13
12
11
Y0
Y1
Y2
Y3
Y4
MUX_S E L
.1U
20
VC C
I0
I1
I2
I3
I4
VI D0
VI D1
VI D2
VI D3
VI D4
3
9
17
Z179
Z180
Z181
19
Z182
VI D0
VI D1
VI D2
VI D3
VI D4
2,24
2,24
2,24
2,24
2,24
.1U
B_V CC _C
VC C
T
T
T
R260
11
12
13
C82
C555
4.7U
.1U
5
6
V CC3_AU X
3
4
C81
C554
4.7U
.1U
5V
5V
BV P P
16
4.7U
B_V P P
.1U
VCC D0#
VCC D1#
VP P D0
VP P D1
10K Z194
.1U
C85
FM3560(R)
R54
C83
10
3.3V
3.3V
1K (R)
VCC 3_AU X
C84
O C#
GN D
1
2
15
14
VCC D0#
VCC D1#
VP P D0
VP P D1
8
7
Z628
VCC D0# 14
VCC D1# 14
VP P D0 14
VP P D1 14
T
SHD N#
T P S 2211
VCC3
CV ID0
CV ID2
CV ID1
CV ID3
CV ID4
4
3
2
1
8
7
6
5
R N22
8P4R-10K
CV ID0
CV ID2
CV ID1
CV ID3
CV ID4
0(R)
R197
1
2
3
4
8
7
6
5
R N23
8P4R-10K
H15
Hol eC
H6
Hol eE
H1
Hol eE
H8
Hol eD
H4
Hol eD
8P4R-0(R)
R N21
R198
U38
5
6
7
8
1
13
VR_HI/LO#
R(0805)
R245
0(0805)
9
8
7
6
1
2
3
4
5
Hol eA
VCC3
2
3
4
5
H27
1
9
8
7
6
H oleC 315D105N-A
: B0 ... B4-->C0 ... C4
: A0 ... A4-->C0 ... C4
H13
2
3
4
5
9
8
7
6
1
2
3
4
5
H oleC 315D105N-A
C P U V RM S E LE C T TA B LE (P erform an ce O ptim ize d)
V_CORE
0 11 11
NO CPU
ON
ON
ON
ON
2.00V
1 11 10
0.925V
0 11 10
1.30V
ON
ON
ON
OFF
1.95V
1 11 01
0.950V
0 11 01
1.35V
ON
ON
OFF
ON
1.90V
1 11 00
0.975V
0 11 00
1.40V
ON
ON
OFF
OFF
1.85V
1 10 11
1.000V
0 10 11
1.45V
ON
OFF
ON
ON
1.80V
1 10 10
1.025V
0 10 10
1.50V
ON
OFF
ON
OFF
1.75V
1 10 01
1.050V
0 10 01
1.55V
ON
OFF
OFF
ON
1.70V
1 10 00
1.075V
0 10 00
1.60V
ON
OFF
OFF
OFF
1.65V
1 01 11
1.100V
0 01 11
1.65V
OFF
ON
ON
ON
1.60V
1 01 10
1.125V
0 01 10
1.70V
OFF
ON
ON
OFF
1.55V
1 01 01
1.150V
0 01 01
1.75V
OFF
ON
OFF
ON
1.50V
1 01 00
1.175V
0 01 00
1.80V
OFF
ON
OFF
OFF
1.45V
1 00 11
1.200V
0 00 11
1.85V
OFF
OFF
ON
ON
1.40V
1 00 11
1.225V
0 00 10
1.90V
OFF
OFF
ON
OFF
1.35V
1 00 01
1.250V
0 00 01
1.95V
OFF
OFF
OFF
ON
1.30V
1 00 00
1.275V
0 00 00
2.00V
OFF
OFF
OFF
OFF
NO CPU
FD22
C-MA R K 1
FD4
C-MA R K 1
FD3
C-MA R K 1
FD11
C-MA R K 1
FD14
C-MA R K 1
FD12
C-MA R K 1
FD21
C-MA R K 1
FD2
C-MA R K 1
GND-1
2
3
4
5
H29
9
8
7
6
1
2
3
4
5
Hol eF
H11
9
8
7
6
1
2
3
4
5
H19
1
Hol eF
H14
1
Hol eG
9
8
7
6
2
3
4
5
H21
9
8
7
6
1
H oleC 315D105N-A
2
3
4
5
H17
9
8
7
6
2
3
4
5
Hol eF
FD25
C-MA R K 1
FD19
C-MA R K 1
FD24
C-MA R K 1
FD13
C-MA R K 1
FD26
C-MA R K 1
FD1
C-MA R K 1
FD16
C-MA R K 1
FD7
C-MA R K 1
2
3
4
5
2
3
4
5
H20
9
8
7
6
1
1
FD20
C-MA R K 1
2
3
4
5
FD5
C-MA R K 1
FD23
C-MA R K 1
1
2
3
4
5
H3
9
8
7
6
1
Hol eF
H12
9
8
7
6
1
H18
1
9
8
7
6
Hol eG
2
3
4
5
H5
1
2
3
4
5
H7
9
8
7
6
1
2
3
4
5
H9
9
8
7
6
2
3
4
5
1
2
3
4
5
Hol eH
H25
1
9
8
7
6
2
3
4
5
H10
9
8
7
6
1
H23
9
8
7
6
1
Hol eH
9
8
7
6
2
3
4
5
Hol eH
´ ¯ ⁄
9
8
7
6
1
Hol eH
Hol eH
9
8
7
6
1
H16
H26
H oleC 315D105N-A
Hol eH
Hol eH
2
3
4
5
1
1
FD17
C-MA R K 1
1
1
FD6
C-MA R K 1
1
1
FD8
C-MA R K 1
1
1
FD15
C-MA R K 1
1
1
FD10
C-MA R K 1
1
1
FD9
C-MA R K 1
9
8
7
6
Hol eH
Hol eH
FD18
C-MA R K 1
H22
H oleC 315D105N-A
9
8
7
6
1
2
3
4
5
Hol eF
1
SW1-4 SW1-3 SW1-2 SW1-1
NO CPU
1
9
8
7
6
VCC
SN 74CBV T L3 383
1 11 11
H28
Hol eA
1
V ID[4 :0] VCC_Core
R196
.01U
1
V ID[4 :0] VCC_Core
Z295
C249
H2
2
3
4
5
Hol eF
10K
High
Low
CPU VRM SEL ECT TABL E(Battery Life M ode)
24
12
T
T
T
T
T
1
9
8
7
6
1
VCC3
R259
VC C
A25
Z189
Z190
Z191
Z192
Z193
H30
1
12 VR_H I/LO#
B E#
BX
5
9
15
19
23
2
3
4
5
1
0
0
D0
D1
D2
D3
D4
2,24
2,24
2,24
2,24
2,24
1
R195
R206
B0
B1
B2
B3
B4
VI D0
VI D1
VI D2
VI D3
VI D4
H24
9
8
7
6
1
Hol eH
„q CLEVO
‚£
CCO.
L E V O C O.
T itle
P C M CIA P O W E R S W ITC H
1
HC H_DIP SW _4
4
8
14
18
22
Z183
Z184
Z185
Z186
Z187
Z188
VI D0
VI D1
VI D2
VI D3
VI D4
1
8 ON
7
6
5
GND-1
2
6
10
16
20
1
SW 1
C0
C1
C2
C3
C4
1
65
1
2
3
4
A0
A1
A2
A3
A4
1
H IGH VOLTAGE
3
7
11
17
21
CV ID0
CV ID1
CV ID2
CV ID3
CV ID4
CV ID0
CV ID1
CV ID2
CV ID3
CV ID4
1
1
2
3
4
10K
LOW V OLT AG E
Siz e
B
Date:
Document Num ber
7 1-51S 00 -D0 2
Monday, Sept em ber 18, 2000
Sheet
15
of
83 626 CLK
1 1 83 626 CLK
C2 6
V CC
V CC
R
U6
13
14
21
22
23
19
18
17
16
LF RAM E#
PCI RST #
1 2 LFR A ME #
8,9,10,13 ,1 4 ,2 1,2 3
P CIR ST #
1 1 83 626 CLK
1 2 LD R EQ #
12 ,1 4,1 7 SIRQ
1 2 L AD 0
1 2 L AD 1
1 2 L AD 2
1 2 L AD 3
83 626 CLK
LDREQ #
SIRQ
LAD0
LAD1
LAD2
LAD3
5
45
55
70
85
10 5
12 0
20
25
V CC
C2 4
C6 5
C4 5
C4 6
10U
.1 U
.1 U
.1 U
V CC 3
R6 6 8
C2 5
4 .7U
V CC 3
V CC 3
1 1 83 626C LK -1 4
1 7 86 9_O SC
8 921 1_O S C
2 1 AU D CL K
V CC
15
50
60
80
95
11 0
12 5
C2 7
.1 U
R6 6 4
R6 7 4
Z19 5
0 (0 8 05)
C6 5 8
.1 U
4 .7K
4 .7K
0 (0 8 05)
R6 6 6
83626CLK-14
869_OS C
R6 6 9
921 1_O S C R6 6 5
AUDCLK
R2 3
R6 6 7
4 .7K
14M OUT 1
22
22
22
14MO UT 2
24 MH Z
2 0 FLA SH #
7 P CS 1
2 3 S EL EC T A
2 3 S EL EC T B
2 2 FA N _ S T P
1 9 MC CS #
1 9 KB D CS #
19 IRQ 1
2 0 B IO S CS #
66
R EFR E SH #
B ALE
S B HE #
2 0 ME MR #
2 0 ME MW #
MAS T ER #
LA 1 7
LA 1 8
LA 1 9
LA 2 0
LA 2 1
LA 2 2
LA 2 3
1 7 D AC K# 0
D AC K# 5
D AC K# 6
D AC K# 7
IOC H CK #
OW S #
S ME MW #
SME MR #
8 0 P CS #
D REQ7
FLA SH#
PCS1
SE LECT A
SE LECT B
FA N_ST P
MCCS#
KBDCS#
IRQ 1
BI OS CS#
RE FRE SH#
BALE
SB HE#
M EM R#
M EMW#
MA ST ER#
LA17
LA18
LA19
LA20
LA21
LA22
LA23
D AC K# 0
D AC K# 5
D AC K# 6
D AC K# 7
IO CHCK#
OWS#
SM EMW#
SM EM R#
80PCS#
DREQ 7
Z19 6
30
Z68 0
24
26
27
28
29
65
66
64
63
62
40
39
38
37
91
10 1
10 2
11 2
11 3
12 3
11 1
10 9
10 8
10 7
10 6
10 4
10 3
4
2
12 8
12 6
76
81
82
83
36
12 4
L FR A M#
P CIR ST #
PC ICL K
LD RQ #
S E RIRQ
L AD 0
L AD 1
L AD 2
L AD 3
V CC
V CC
V CC
V CC
V CC
V CC
V CC
V CC 3
A V CC 3
G ND
G ND
G ND
G ND
G ND
G ND
G ND
AG ND
P W R DN #
14 .31 8
14MO UT 1
14MO UT 2
24 M& 25M
RT CC S # /G P IO 6
IOHC S# /G P IO 7
G P IO 5/IR Q8 #
GP IO4 /IRQIN
PL ED /GP IO3
MCC S# /G P IO 2
K BC S# /G P IO 1
IR Q1 /GP IO0
RO MCS #
R EFR E SH #
B ALE
S B HE #
ME MR #
ME MW #
MA S T E R#/R T C EN
LA 1 7
LA 1 8
LA 1 9
LA 2 0
LA 2 1
LA 2 2
LA 2 3
D AC K# 0
D AC K# 5
D AC K #6/HE FR A S
D AC K7 #
IOC H CK #
OW S #
S ME MW #
SME MR #
8 0 P CS #/KB EN
D RQ 7
S A [0 ..19]
SA 0
SA 1
SA 2
SA 3
SA 4
SA 5
SA 6
SA 7
SA 8
SA 9
S A1 0
S A1 1
S A1 2
S A1 3
S A1 4
S A1 5
S A1 6
S A1 7
S A1 8
S A1 9
SD 0
SD 1
SD 2
SD 3
SD 4
SD 5
SD 6
SD 7
SD 8
SD 9
S D1 0
S D1 1
S D1 2
S D1 3
S D1 4
S D1 5
IOR #
IOW #
A EN
R S T D RV
D AC K# 2
TC
D RQ 2
IRQ 3
IRQ 4
IRQ 6
IRQ 7
IO C HR DY
IR Q1 0
D AC K# 1
D RQ 3
D AC K# 3
IR Q1 1
IRQ 5
D RQ 1
IR Q1 2
IRQ 9
IR Q1 4
IR Q1 5
IO C S1 6 #
D RQ 0
D RQ 5
D RQ 6
SY S CL K
MEMC S16 #
31
32
33
34
35
41
42
43
44
46
47
48
49
51
52
53
54
56
57
58
S A [0 ..19]
17 ,1 9,2 0
SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
SD8
SD9
SD10
SD11
SD12
SD13
SD14
SD15
78
6
7
11
3
1
12 7
92
12
IRQ 9
IRQ14
IRQ15
I O CS16#
RP 7
10
9
8
7
6
10
9
8
7
6
SD 6
SD 7
SD 4
SD 5
SD 6
SD 7
SD 4
SD 5
7,17 ,1 9,2 0
7,17 ,1 9,2 0
7,17 ,1 9,2 0
7,17 ,1 9,2 0
SD 0
SD 1
SD 2
SD 3
SD 0
SD 1
SD 2
SD 3
7,17 ,1 9,2 0
7,17 ,1 9,2 0
7,17 ,1 9,2 0
7,17 ,1 9,2 0
1
2
3
4
5
1
2
3
4
5
S D [0 ..15]
7,17 ,1 9,2 0
1
2
3
4
5
1
2
3
4
5
10
9
8
7
6
SA 2
SA 3
SA 0
SA 1
SA 2
SA 3
SA 0
SA 1
17 ,1 9,2 0
1 7 ,2 0
1 7 ,2 0
1 7 ,2 0
SA 5
SA 4
SA 7
SA 6
1 7 ,2 0
1 7 ,2 0
1 7 ,2 0
1 7 ,2 0
1
2
3
4
5
1
2
3
4
5
DREQ 2
IRQ 3
IRQ 4
IRQ 6
IRQ 7
IO C HR DY
IRQ10
D AC K# 1
D REQ3
D AC K# 3
IRQ11
IRQ 5
D REQ1
IRQ12
T
IRQ 9
IR Q1 4
IR Q1 5
IO C S1 6 #
D REQ0 1 7
D REQ5
D REQ6
S D1 2
S D1 3
S D1 4
S D1 5
S D1 2
S D1 3
S D1 4
S D1 5
10
9
8
7
6
S A1 0
S A1 1
SA 8
SA 9
S A1 2
S A1 3
S A1 4
S A1 5
S A1 0
S A1 1
SA 8
SA 9
1
1
17
17
S A1 2
S A1 3
S A1 4
S A1 5
1
1
1
1
R P1 2
10
9
8
7
6
10
9
8
7
6
1 0 P8 R-10K
17
IRQ 3
IRQ 4
IRQ 6
IRQ 7
IO C HR DY 1 7
IR Q1 0
D AC K# 1 1 7
D REQ3 1 7
D AC K# 3 1 7
IR Q1 1
IRQ 5
D REQ1 1 7
IR Q1 2 1 9
SD 8
SD 9
S D1 0
S D1 1
V CC
RP 4
1
2
3
4
5
10
9
8
7
6
1 0 P 8 R-10 K(R)
SA 5
SA 4
SA 7
SA 6
V CC
1
2
3
4
5
SD 8
SD 9
S D1 0
S D1 1
R P1 0
10
9
8
7
6
1 0 P 8 R-10 K(R)
IORD # 1 7 ,1 9
IO W R # 7 ,1 7 ,1 9
A EN 1 7
R S T D RV 1 7
D AC K# 2 1 7
TC
17
10
9
8
7
6
V CC
RP 9
S D [0 ..15]
10
9
8
7
6
10P 8R -8 .2K
V CC
IORD #
IO W R #
A EN
R S T D RV
D AC K# 2
TC
D REQ2
D REQ0
D REQ5
D REQ6
Z19 7
MEMC S16 #
1
2
3
4
5
10P 8R -8 .2K
S A1 1
S A1 2
S A1 3
S A1 4
S A1 5
S A1 6
S A1 7
S A1 8
S A1 9
67
68
69
71
72
73
74
75
11 4
11 5
11 6
11 7
11 8
11 9
12 1
12 2
86
84
59
77
99
10 0
79
98
97
94
93
61
10
89
88
87
9
96
90
8
RP 5
1
2
3
4
5
IRQ 6
IRQ 5
IRQ 4
IRQ 3
IRQ 6
IRQ 5
IRQ 4
IRQ 3
IR Q1 0
IRQ 1
IRQ 9
IRQ 7
IR Q1 0
IRQ 1 1 9
IRQ 9
IRQ 7
1
2
3
4
5
1
2
3
4
5
10
9
8
7
6
1 0 P8 R-10K
10
9
8
7
6
IR Q1 4
IR Q1 5
IR Q1 2
IR Q1 1
IR Q1 4
IR Q1 5
IR Q1 2
IR Q1 1
S A1 6
S A1 7
S A1 8
S A1 9
S A1 6 2
S A1 7 2
S A1 8 2
S A1 9
V CC
RP 6
1
2
3
4
5
1
2
3
4
5
10
9
8
7
6
10
9
8
7
6
1 0 P8 R-10K
Z19 8
LA 2 1
LA 2 2
LA 2 3
MEMC S16 #
W 8 362 6
V CC
LA 2 0
LA 1 9
LA 1 8
LA 1 7
LA 2 0
LA 1 9
LA 1 8
LA 1 7
1
2
3
4
R N6 9
8
7
6
5
R EFR E SH #
IO C HR DY
MEMC S16 #
MAS T ER #
8
7
6
5
OW S #
S ME MW #
SME MR #
IO C S1 6 #
4
3
2
1
B ALE
S B HE #
IOC H CK #
5
6
7
8
ME MW #
ME MR #
IORD #
IO W R #
R EFR E S
IO C HR D
MEMC S1
MAS T ER
8P 4R -1 K
T
LA 2 1
LA 2 2
LA 2 3
1
2
3
4
V CC
R N6 8
OW S #
S ME MW
SME MR #
IO C S1 6 #
8P 4R -1 K
RP 8
1
2
3
4
5
1
2
3
4
5
10
9
8
7
6
10
9
8
7
6
1 0 P 8 R-10 K(R)
D AC K# 2
D AC K# 7
D AC K# 6
D AC K# 5
Z19 9
D AC K# 0
D AC K# 3
D AC K# 1
5
6
7
8
D AC K# 2 1 7
D AC K# 7
D AC K# 6
D AC K# 5
R N6 6
80PCS#
8 0 P CS #
B ALE
S B HE #
IOC H CK
8P 4R -4 .7 K
4
3
2
1
T
D AC K# 0 1 7
D AC K# 3 1 7
D AC K# 1 1 7
R N6 7
ME MW #
ME MR #
IORD #
IO W R #
8P 4R -8 .2 K
1
2
3
4
5
R P1 1
1 10
2
9
3
8
4
7
5
6
10
9
8
7
6
10P 8R -8 .2K
D REQ6
D REQ7
D REQ0
D REQ5
Z20 0
D REQ2
D REQ3
D REQ1
D REQ6
D REQ7
D REQ0 1 7
D REQ5
´ ¯ ⁄
„qCLEVO
‚£
C LEVO C O.
CO.
T it le
T
D REQ2 1 7
D REQ3 1 7
D REQ1 1 7
LPC TO ISA - W38626
Size
Docum ent Num ber
C us tom
Date:
71-51S00- D02
Monday, Sept em ber 18, 2000
Sheet
16
of
FIRVCC
L85
VCC3
F IR
4
3
2
1
4
3
2
1
BK2125HS330
R5
4.7K
47K
5
6
7
8
R2
RN70
8P4R-18
5
6
7
8
RN71
8P4R-18
3
IRTX2
9
U1
RXD
LEAD
8
FIR_SEL
MDO
T XD
11
MD1
GNDPAD
NC
R6
4.7K
4
Z2 01
R3
2.2K
5
Z2 02
R4
2.2K
FIRGND
VCC
IRTX2
IRRX2
IRR3
AGNDD
IRR3
GND
IRRX2
10
Z2 03
VCC3
JA1
2
1
2
7
6
HSDL -36 00
1
C5
Z667
SHORT -A
.1 U
C659
.0 47 U
C660
10U
T
FIRGND
16,19
7,16,19
SA[0..1 5]
SA[0..1 5]
IORD#
IOW R#
C694
C695
33P
C
16
16
16 AEN
RSTDRV
16
TC
IOCHRDY
16
16
16
16
16
16
16
16
R613
1K
DACK#0
DACK#1
DACK#2
DACK#3
DREQ0
DREQ1
DREQ2
DREQ3
9,14 CLKRUN#
12 ,14,16
SIRQ
16
869_OSC
C610
R
11
869_ PCLK
VCC3
R53
IRR3
IRRX2
IRTX2
46
47
48
49
51
52
53
54
SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA12
SA13
SA14
SA15
26
27
28
29
30
31
32
39
40
41
95
35
36
1
3
25
IORD#
IOW R#
AEN
RSTDRV
TC
IOCHRDY
IRQIN
42
43
44
55
33
98
96
DACK#0
DACK#1
DACK#2
DACK#3
DREQ0
DREQ1
DREQ2
DREQ3
20
34
94
22
19
50
97
17
CLKRUN#
SIRQ
869_OSC
869_ PCLK
92
37
18
38
10K
IRR3
IRRX2
IRTX2
Z2 04
56
21
23
24
D0
D1
D2
D3
D4
D5
D6
D7
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
C608
C609
.1 U
.1 U
.01U
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
ST ROBE#
SLCT IN#
INIT #
AUT OFD#
ERROR#
ACK#
BUSY
PE
SLCT
CT S1#
DSR1#
DCD1#
RI1
RXD1
T XD1
RT S1#
DT R1#
IOR#
IOW #
AEN
RESET
TC
IOCHRDY
IRQIN
CT S2#
DSR2#
DCD2#
RI2
RXD2 /IRRX
TXD2 /IRT X
RT S2#
DT R2#
DACK_A#
DACK_B#
DACK_C#
DACK_D#
DRQ_ A
DRQ_ B
DRQ_ C
DRQ_ D
ADRX# /CLKRUN#
SIRQ
CLK14
CLK33
PWRGD/GAMECS#
IRMODE/IRR3
IRRX2
IRTX2
C80
4.7U
13
70
VCC
VCC
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
GND
GND
GND
GND
67
16 ,19,20
U46
SD[0..7 ]
SD[0..7 ]
4
45
65
93
7,16,19,20
C575
RDATA#
WDATA#
DSKCHG#
WGATE#
DIR#
ST EP#
HDSEL#
T RK0#
WRT PRT #
INDEX#
MT R0#
DS0#
DRVDEN0
DRVDEN1
69
68
67
66
64
63
62
61
5
6
7
8
1
2
3
4
PPD0
PPD1
PPD2
PPD3
PPD4
PPD5
PPD6
PPD7
ST ROBE#
SLCT IN#
PPINIT #
AUT OFD#
73
60
59
58
57
PPERR#
PACK#
PBUSY
PPE
PSLCT
PPERR#
18
PACK# 18
PBUSY 18
PPE 18
PSLCT 18
80
78
83
82
76
77
79
81
CT S1#
DSR1#
DCD1#
RI1#
SIN1
SOUT 1
RT S1#
DT R1#
CT S1# 18
DSR1# 18
DCD1# 18
RI1# 18
SIN1 18
SOUT 1
18
RT S1# 18
DT R1# 18
CT S2#
DSR2#
DCD2#
RI2#
SIN2
Z2 05
RT S2#
Z2 06
8P4R-33
4
3
2
1
8
7
6
5
RN2
ST ROBE#
AUT OFD#
PPINIT #
SLCT IN#
75
71
72
74
90
88
85
84
86
87
89
91
RN3
CT S2#
DSR2#
DCD2#
RI2#
SIN2
T
8P4R-33
5
6
7
8
RN5
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
4
3
2
1
FIRVCC
18
18
18
18
18
18
18
18
PSTB#
PAT FD#
PINIT #
PSLIN#
PSTB# 18
PAT FD# 18
PINIT # 18
PSLIN# 18
8P4R-33
VCC3
VCC3
R610
CT S2#
DSR2#
SIN2
DCD2#
R
5
6
7
8
CT S2#
DSR2#
SIN2
DCD2#
R609
RI2#
RI2#
RN61
4
3
2
1
8P4 R-10K
10K
T
14
7
15
8
5
6
9
11
12
10
100
2
RDATA#
WDATA#
DSKCHG#
WGATE#
DIR#
ST EP#
HDSEL#
T RK0#
WP#
INDEX#
MT R0#
DRV0#
RDATA#
10
WDATA# 10
DSKCHG#
10
WGATE#
10
DIR# 10
ST EP# 10
HDSEL# 10
T RK0# 10
WP# 10
INDEX# 10
MT R0#
10
DRV0# 10
99
16
3MODE#
Z2 07
3MODE#
T
R611
VCC3
820
R619
1K
WDATA#
WDATA#
R621
1K
WGATE#
WGATE#
C597
C587
C
C
10
37N869
´ ¯ ⁄
„qCLEVO
‚£
CCO.
LEVO C O.
T itle
SUPE R I/O - 37N8 69
Size
Document Number
B
Date:
71 -51 S00-D02
Monday, September 18, 2000
Sheet
17
of
V CC
C58 1
28
Z213
24
Z214
1
C59 2
.1 U_ K %
1 7 S O UT 1
1 7 RT S 1 #
1 7 DT R1 #
2 0 COM1RI
1 7 DS R1 #
1 7 R I1 #
1 7 CT S 1 #
1 7 S IN 1
1 7 DC D1 #
Z215
2
S O UT 1
RT S 1 #
DT R1 #
14
13
12
COM1RI
DS R1 #
R I1 #
CT S 1 #
S IN 1
DC D1 #
20
19
18
17
16
15
23
V CC
R61 5
10 0K
T
Z224
Z223
22
21
C1 +
C1-
V CC
Z212
C59 1
.1 U_ K %
26
.1U
U4 7
V+
V-
27
Z217
3
Z216
C58 3
C2 +
.1 U_ K %
C58 2
.1 U_ K %
C N2 3
C2T 1IN
T 2IN
T 3IN
R2 OU T B
R 1O UT
R 2O UT
R 3O UT
R 4O UT
R 5O UT
12
T 1O UT
T 2O UT
T 3O UT
R 1IN
R 2IN
R 3IN
R 4IN
R 5IN
9
10
11
4
5
6
7
8
F OR CE ON
/F OR CE OF F
G ND
25
Z2 0 9
Z2 1 0
Z2 1 1
Z218
Z219
Z220
Z221
Z222
4
3
2
1
RN 4
5
6
7
8
R IA
DT RA
CT S A
S OU T A
5
6
7
8
RT S A
S INA
DS RA
DC DA
5
9
4
8
3
7
2
6
1
8P 4R -3 3
4
3
2
1
RN 1
13
P A N_ CO M_ DB 9
8P 4R -3 3
C61 1 C59 9 C59 8 C59 0 C58 9 C58 8 C58 0 C57 9
/INV A L ID
C N 23:14-29 P IN ->G ND
22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P
MAX 32 4 3
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
L6 2
L6 5
L6 7
L6 9
L2 0
L1 9
L1 8
L1 7
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
C N2 1
29
Z226
Z227
Z228
Z229
Z230
Z231
Z232
Z233
Z234
C49 6 C49 9 C50 0 C51 0 C45 9 C46 4 C46 6 C46 9
22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P
Z235
Z236
C45 5 C45 8 C46 0 C46 5 C51 1 C51 2 C51 8 C51 9
Z237
22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P
Z238
1 7 P A CK #
1 7 P BU S Y
1 7 P PE
1 7 P S LCT
1 7 P S TB #
1 7 P AT FD #
1 7 P P E RR #
1 7 P IN IT #
1 7 P SL IN #
P A CK #
P BU S Y
P PE
P S LCT
P S TB #
P AT FD #
P P E RR #
P IN IT #
P SL IN #
L1 6
L1 5
L1 4
L1 3
L6 0
L6 1
L6 3
L6 6
L6 8
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
0(N1 60 8Z 3 01)
Z239
Z240
Z241
Z242
1
14
2
15
3
16
4
17
5
18
6
19
7
20
8
21
9
22
10
23
11
24
12
25
13
V CC
A
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
D1
1S S 35 5
C
68
17
17
17
17
17
17
17
17
1 7 PD3
1 7 P SL IN #
1 7 P S TB #
1 7 P AT FD #
PD3
P SL IN #
P S TB #
P AT FD #
Z225
1
2
3
4
5
RP 2
1 10
2
9
3
8
4
7
5
6
10
9
8
7
6
PD2
P IN IT #
PD0
PD1
PD2 1 7
P IN IT # 1 7
PD0 1 7
PD1 1 7
P P E RR #
P P E RR # 1 7
10 P 8 R -2K
R6 6
1 7 P BU S Y
1 7 P A CK #
1 7 P PE
1 7 P S LCT
28
P BU S Y
P A CK #
P PE
P S LCT
1
2
3
4
5
2K
RP 1
1 10
2
9
3
8
4
7
5
6
10
9
8
7
6
PD4
PD5
PD6
PD7
PD4
PD5
PD6
PD7
17
17
17
17
10 P 8 R -2K
C46 8
P A N_ L P T _D B 2 5
18 0P
C N 21 PIN 2 8 -4 5 ->G ND
´ ¯ ⁄
„q ‚ £ CO.
C LEVO C O.
CLEVO
T it le
COM & PRINT PORT
Size
B
Date:
Docum ent Number
71-51S00-D02
Monday, Sept em ber 18, 2000
S heet
18
of
L4 6
C3 1 7
V CC
C OL 8
C OL 7
C OL 6
C OL 5
C OL 4
C OL 3
C OL 2
C OL 1
R OW 1
R OW 2
A
R OW 6
A
E MCLK
EMDA
D4 4
C
R OW 4
R OW 4
EK DA
E K CLK
1S S35 5
D4 3
A
C
1S S35 5
D4 1
R OW 7
R OW 5
R OW 9
R OW 1 0
R OW 1 1
R OW 8
R OW 1 2
R OW 3
R OW 1 6
R OW 1 3
R OW 1 4
R OW 1 5
S
R3 1 1
D
0 PW R_ ON #
10
9
8
7
6
10
9
8
7
6
C OL 4
C OL 3
C OL 2
C OL 1
G
7
8
68P
68P
68P
K B_ ON #
12
22
V CC
A
D4 6
C1 7 1
C3 1 1
C3 1 2
4.7U
.1U
.01U
R OW 1 6
R OW 1 5
R OW 1 4
R OW 1 3
R OW 1 2
R OW 1 1
R OW 1 0
R OW 9
R OW 8
R OW 7
R OW 6
R OW 5
R OW 4
R OW 3
R OW 2
R OW 1
69
C OL 8
C OL 7
C OL 6
C OL 5
C OL 4
C OL 3
C OL 2
C OL 1
K BV 3
G Q5 7
2N 700 2
S
C Z397 D
R3 1 5
10K
R3 1 4
2 0 R ING#
R1 4 7
2 1 AMP _D OW N
R3 0 5
K BV 3
D4 5
R
2 2 P W RSW #
2 0 ,2 8 BA T FUL L
1 2 ,2 2,2 3,2 5 ,2 8,2 9
P W R _ ON
P W RSW #
BA T FUL L
P W R _ ON
R2 7 0
R2 6 8
R1 7 2
100 K
100 K
100 K
R2 6 9
0(R)
C
D1 9
A
D3 3
Z255
72
B RIGA D J
FA N_ PW M
S CI
MBID 0
MBID 1
10
11
19
21
20
35
36
37
38
12
13
R2 6 2
4.7U
1 2 ,2 2,2 3,2 5 ,2 8,2 9
P W R _ ON
D3 1
SW R
SW L
C3 4 1
C3 4 2
33P
33P
S2
1
3
2
4
H CH _51 _T P _B U T T ON
S1
1
3
2
4
H CH _51 _T P _B U T T ON
24
30
73
A
C2 9 7
1S S3 55(R)
D3 2
A
P W R _ ON C
V CC
R N2 0
1
2
3
4
C3 2 3
V CC
P1 7
P1 6
P1 5
P1 4
P1 3
P1 2
P1 1
P1 0
PO 7
PO 6
PO 5
PO 4
PO 3
PO 2
PO 1
PO 0
P3 7
P3 6
P3 5
P3 4
P3 3
P3 2
P3 1
P3 0
P6 7
P6 6
P6 5
P6 4
P6 3
P6 2
P6 1
P6 0
V RE F
P5 7
P5 6
P4 6
P4 4
P4 5
P2 3
P2 2
P2 1
P2 0
P5 5
P5 4
P2 7
P2 6
P2 5
P2 4
DQ 7
DQ 6
DQ 5
DQ 4
DQ 3
DQ 2
DQ 1
DQ 0
P 53/W R #
P5 2/RD #
P5 1/CS #
P 50/A 0
31
32
33
34
SC R OLL #
N UM#
C A PS #
S PD IFON
63
64
65
66
67
68
69
70
SD 7
SD 6
SD 5
SD 4
SD 3
SD 2
SD 1
SD 0
14
15
16
17
SC R OLL # 2 0
N UM# 2 0
C A PS # 2 0
S PD IFON 2 1
SD 7
SD 6
SD 5
SD 4
SD 3
SD 2
SD 1
SD 0
C
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
7,16 ,1 7 ,2 0
IO W R #
IORD #
IO W R # 7 ,1 6 ,1 7
IORD # 1 6 ,1 7
SA 2
SA 2
E K CLK
EK DA
E MCLK
EMDA
C
C
C
R1 4 9
10K
IMCLK
IMCLK
R1 5 0
10K
IMDA T A
IMDA T
E XT SMI#
E XT S
R2 6 6
V DD 3
E K CLK
EK DA
E MCLK
EMDA
C1 9 2 C1 9 3 C1 9 1 C1 9 0
8 P 4 R-1 0K
8.2K
C2 7 9
R2 8 3
Z299
8
7
6
5
D Q5 0
16 ,1 7,2 0
10K
C
K BV 3
S KB D CS #
KB D CS # 1 6
G
2N 700 2
P 42/IRQ 1
P4 3/IR Q1 2
23
22
IRQ 1
IR Q1 2
18
Z250
3
2
S MB DA
S MB C L
5
8
E MCLK
EMDA
4
7
E K CLK
EK DA
6
9
IMCLK
IMDA T A
V CC
IRQ 1 1 6
IR Q1 2 1 6
R1 6 1
P 47/C S (A CP I)
D Q2 6
10K
K BV 3
S MC CS #
MC CS #
16
G
P 76 /S DA
P7 7/SC L
P 74 /E MCL K
P7 1/EMDA
P 75 /E K CL K
P7 2/EK DA
P 73 /IMCLK
P7 0/IMDA
P4 0
P4 1
RE S ET #
X IN
C NV SS
V SS
AV S S
4.7U
XO UT
27
26
28
ES MI
W A KE #
R1 7 1
Z251
S MB DA
S MB C L
2N 700 2
V CC
E MCLK
EMDA
E K CLK
EK DA
IMCLK
IMDA T A
E XT SMI#
G
W A KE # 1 2
100 K
K BV 3
E XT SMI#
12
D
Q2 7
S
2N 700 2
R2 6 7
10K
Y5
29
Z252
8 MH Z_C
.1U
M388 6 7M8
C2 8 0
10U
IMDA T A
IMCLK
74
75
76
77
78
79
80
1
25
K B RE S ET #
1S S3 55(R)
T
T
BE E P_ EN #
K B _ S US #
100 K
C
Q
C N1 3
IMDA T A
IMCLK
Z254
2 0 BE E P_ EN #
2 2 K B _ S US #
100 K
R2 9 3
Q
47
48
49
50
51
52
53
54
Z253
K BV 3
22
R OW 8
R OW 7
R OW 6
R OW 5
R OW 4
R OW 3
R OW 2
R OW 1
Z62 7
V CC
C3 5 7
39
40
41
42
43
44
45
46
55
56
57
58
59
60
61
62
7 B RIGA D J
2 2 FA N_ PW M
1 2 S CI
MBID 0
MBID 1
A
F0 1J 2E
C
F0 1J 2 E (R)
R OW 1 6
R OW 1 5
R OW 1 4
R OW 1 3
R OW 1 2
R OW 1 1
R OW 1 0
R OW 9
C OL 8
C OL 7
C OL 6
C OL 5
C OL 4
C OL 3
C OL 2
C OL 1
R3 1 3
100 K
A LE RT #
P ME #
D IS B L
W EB SW #
100 K
R ING#
100 K
E MA IL SW #
AMP _D OW N
100 K
U S ERSW #
8 U S ERSW #
K BV 3
71
K BV 3
S MA LE RT
1K (0 805)
C
1
5
3
U4 1
1 4 P ME #
2 0 D IS B L
A
PW R_ ON #
K B_ ON #
C OL 4
C OL 3
C OL 2
C OL 1
VO LT AG E :2.5V
1
2
3
4
5
6
Z256
7
Z257
8
A C E S _ U _T P _ FFC 8
Z245
Z246
N D S 35 2
K BV 3
R3 1 6
1
2
3
4
5
6
7
8
BK 160 8H S24 1
BK 160 8H S24 1
9
C N15 P IN 10-18 ->GND
Q2 3
0 (1 2 06)(R)
V CC
.1U
L4 9
L5 0
V DD 3
R3 1 2
F0 1J 2E
C3 5 6
EK DA
E K CLK
68P
V DD 3
K BV 3
AS 243 1
A1
4
6
2
C
2 8 S MA LE RT
.1U
Z549
Z243
Z244
1S S35 5
10 P8 R-10K
C3 1 3
BK 160 8H S24 1
BK 160 8H S24 1
2
1
2
3
4
5
L4 5
L4 7
PA N _K /B _T 6
RP 3
1
2
3
4
5
C OL 5
C OL 6
C OL 7
C OL 8
E MCLK
EMDA
C N1 5
C3 1 8 C3 1 6 C3 0 6 C3 0 5
K BV 3
C OL 5
C OL 6
C OL 7
C OL 8
.1U
B K2 125 HS 3 30 _0 80 5
1
C N1 4
1
C OL 8
1 2
C OL 7
2 3
C OL 6
3 4
C OL 5
4 5
C OL 4
5 6
C OL 3
6 7
C OL 2
7 8
C OL 1
8 9
R OW 1
9 10
R OW 2
10 11
R OW 4 -1
11 12
R OW 4 -2
12 13
R OW 6
13 14
R OW 4 -3
14 15
R OW 7
15 16
R OW 5
16 17
R OW 9
17 18
R OW 1 0
18 19
R OW 1 1
19 20
R OW 8
20 21
R OW 1 2
21 22
R OW 3
22 23
R OW 1 6
23 24
R OW 1 3
24 25
R OW 1 4
25 26
R OW 1 5
26
A CE S _ U _K /B _FC C2 6
C2 3 4
C2 3 5 Y 5:3-4 P IN->GND
22P
22P
S MB DA
S MB C L
S MB DA
S MB C L
R2 9 4
R3 0 4
0
0
B A T _ DA T A
B A T _ CLK
B AT_
B AT_
C1 8 2 C1 8 9
22P
MBID 0
MBID 1
V CC 3
MBID 0
MBID 1
R1 7 0
R1 8 4
22P
10K
10K
R2 6 1
R2 5 4
10 K(R)
10 K(R)
´ ¯ ⁄
„q CLEVO
‚£
CCO.
L EVO C O .
T it le
K/B CONTROLLER-M38867
Size
B
Date:
Docum ent Num ber
71-51S00-D02
M onday, Septem ber 18, 2000
Sheet
19
of
2
D 25
R2 18
HD _L E D
10 HD _L E D
A
Z280
33 0(0 80 5)
C
S ML _0 10 MT _ G
D 24
VC C
C6 29
.1 U
VC C
16 ME MW #
1
FL A S H #
U 48
IN
2
ME MW #
5
VC C
R6 47
10 K
IN
3
Z258 C
4
GN D
OU T
T C 7S H 3 2F U
D 68
22
24
1
31
B IOS CS #
ME MR #
16 B IOS CS #
16 ME MR #
Z259
A
W BIOS #
CE
OE
VP P
PGM
1S S3 5 5
C 73
13
14
15
17
18
19
20
21
O0
O1
O2
O3
O4
O5
O6
O7
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A 10
A 11
A 12
A 13
A 14
A 15
A 16
S A [0..16 ]
SD 0
SD 1
SD 2
SD 3
SD 4
SD 5
SD 6
SD 7
SD 0
SD 1
SD 2
SD 3
SD 4
SD 5
SD 6
SD 7
N UM#
19 N UM#
R2 19
CA P S #
19 CA P S #
R2 21
1,1 2 S T P CLK #
Z7 1 3 A
R7 16
V CC 3
22 0(0 80 5)
70
1,1 1,1 2
V CC 3
D 80
S CR OL L #
19 S CR OL L #
32
VC C
S A 17
S A 17 16
B A T FU L L
B A T FU L L
R1 51
33 0(0 80 5)
Z285
D 14
G
B A T CH A
R1 52
33 0(0 80 5)
Z286
R
LE D(CS L -F3 00 )
.1 U
BA T ON
22 B A T O N
R2 90
Q52
D
2N7 0 02
D 13
G
Z287
33 0(0 80 5)
C
E
C Z277
A C IN # R1 65
A C IN #
4.7 K
Z279
E
C
1S S3 5 5
D 34
Z278 A
R2 91
LE D(CS L -F3 00 )
C
Z276
1S S3 5 5
Q48
2N3 9 04
B A T _B EE P
B A T _B EE P
Z2 9 6 R
22 0(0 80 5)
C
V CC 3
D 37
A
Q49
2N3 9 06
S A 18 16
D
Q85
S
2N7 0 02
G
VC C
U36 E
R2 22
Z271
1 0K (R)
Z288
B
R2 32
8
CO M
C
Q37
E
D T D 11 4E K(R)
U36 D
S
V CC 3
C
C6 42
16
GN D
29 F0 2 0
9
P C _RING #
A
28 B A T CH A
19 ,28
30
A 17
.1 U
14 P C _RING #
C
Z284
22 0(0 80 5)
VC C
C2 55
G
R2 23
S ML _0 10 MT _ G
V CC 3
VC C
R2 82
10 K
V CC 3
C Z7 3 9
S ML _0 10 MT _ G
CP U_S T P #
CP U_S T P #
A
D 28
D
Q84
S
2N7 0 02
G
S T P CLK #
C
Z283
22 0(0 80 5)
S ML _0 10 MT _ G
C Z7 3 7
S ML _0 10 MT _ G
22 0(0 80 5)
V CC 3
B
V CC 3
A
D 27
12 ,22
D 79
C
Z282
22 0(0 80 5)
S ML _0 10 MT _ G
R
Z7 1 2 A
C
D 26
7,1 6,1 7,1 9
7,1 6,1 7,1 9
7,1 6,1 7,1 9
7,1 6,1 7,1 9
7,1 6,1 7,1 9
7,1 6,1 7,1 9
7,1 6,1 7,1 9
7,1 6,1 7,1 9
.1 U
R7 14
A
Z281
22 0(0 80 5)
S ML _0 10 MT _ G
R6 43
S A 18
R2 16
16 ,17 ,19
B
A
C
F0 1J 2 E
16 FL A S H #
12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
SA 0
SA 1
SA 2
SA 3
SA 4
SA 5
SA 6
SA 7
SA 8
SA 9
S A 10
S A 11
S A 12
S A 13
S A 14
S A 15
S A 16
D 67
U 10
S US _L E D
12 S US _L E D
S A [0..16 ]
10
11
Z275
74 HC 1 4
U3 6F
13
R2 47
12
74 HC 1 4
10 0 K
Z274
1M(R)
Z272
74 HC 1 4
C2 67
C2 59
R3 02
K BV 3
10 0 K
Q56
G
C OM1RI
R3 03
RING #
19
D
S
.04 7 U
E
.47 U(08 0 5 )(R)
Q41
B
C
2N7 0 02
E
Q36
2N3 9 04
B
Z658
C
10 0 K
R2 20
10 K
DISB L
DISB L 19
Z266
3
R2 09
1K
B A T _B EE P
B A T _B EE P
R2 15
U36 C
U36 B
4
5
6
S Q38
Z263
D
Z265
R2 10
14
1
VC C
2
Z262
R2 49
19 DISB L
3M
C
E
E
B Z273
Q33
2N3 9 06
2N7 0 02
74 HC 1 4
74 HC 1 4
0
C
B
Z264
4.7 K
G
U36 A
Z270
2N 39 04 (R)
Z269
18 C OM1RI
RING #
R2 00
2.2 K
FA UL T #
Q31
2N3 9 06
FA UL T #
22
R2 53
VC C
DISB L
10 K
C2 68
LB P
.1 U
74 HC 1 4
C
D 29
A Z289
R2 52
10 K
V DD A
R2 48
LB P
1S S3 5 5
D 21
Z260
19 B E E P _E N #
B E E P _E N #
R2 01
10 K
Z261 B
LB P
22 0 K
C
Q32
E
2N3 9 04
12 P C S P K
PC SP K
C
Z268
R2 31
2.2 K
Z267
B
R2 46
C2 66
1S S3 5 5
C2 69
4.7 U
A
14 S P K R OU T
S P K R OU T
C2 58
21
C
Q30
E
2N3 9 04
R1 99
.1 U
1K
.1 U
2.2 K (R)
C2 54
.04 7 U
´¯ ⁄
„qCLEVO
‚£
CCO.
L EVO C O.
T it le
BIOS & LED INDICA TOR
Size
B
Dat e:
Docum ent Num ber
71-51S00-D02
Monday, Sept em ber 18, 2000
Sheet
20
of
C 54
TP 8
T
T
T
T
T P1 74 T P1 76 T P 4
.01 U(R)
C ID 0
C ID 1
NC
G ND
NC
G ND
NC
NC
G ND
G ND
st atus
MAST ER
SLA VE
SLA VE
SLA VE
L 10
Z300
T
T
T P 1 7T7P 1 7 5
Z307
R 35
R 36
R 42
C ID 0
C ID 1
L 75
V CC 3
Z317
C 43
20 K (R)
20 K (R)
R
1
V D DA
O UT
.01 U
C 36
C 37
.1U
4 .7 U
C6 5 4
C6 5 3
4 .7 U
.1U
.01 U
1
9
25
38
AGND
2
A UD CLK
3
Z6 81
C 71
Z6 54
5
R
Z6 55
Z6 56
6
8
10
11
.1U
Z310
27
C 39
10 U(R)
Z311
28
X T L_O UT
LINE _IN_R
S D AT A _O UT
MIC 2
B IT _ CL K
S D A T A _IN
S Y NC
R ES ET #
MIC 1
.1 U(R)
.00 1U
Z312
29
C 52
.00 1U
Z313
Z314
30
31
Z315
32
10 U
.1U
.00 1U
C 41
10 U(R)
LINE _OU T _L
V RE F
12
Z319
C 68
.1U
13
Z320
C 64
.1U
14
Z321
C6 5 0 .04 7U
C6 4 6
R6 4 6
15
Z322
C 63
.01 U
20 K
16
Z323
C6 5 1 .04 7U
17
Z325
C 62
Z324
1K LB P
LB P
20
P HONE
CN 3
AGND
13
IN T MIC
.04 7U
R8
C 57
.04 7U
Z327
C 48
.04 7U
22
Z328
C 58
.04 7U
AGND
2 .7 K
C9
.04 7U
Z326
Z330
AGND
L3
B K 1 6 08H S 3 3 0
10 U
A FILT 1
C D _L
A FILT 2
C AP 1
C AP 2
C D_G ND
C D_R
CN 1
5
4
3
2
1
HC H_ P HO NE _T
R 14
AGND
2 .7 K
C6 7 4
Z351
Z352
L2
MIC _ IN
Z7 16 R7 1 8
1K
Z7 15
R7
R
B K 1 6 08H S 2 4 1
35
1
2
H RS _ R_ HE
V D DA
24
21
R 41
P HONE
23
36
LIN E_ OU T _ R
V R EFOUT
4
7
C 53
C 42
C 35
1U
MON O_O UT
C 50
C 51
+1 2V
B K 2 1 25H S 3 3 0
33
34
39
40
41
43
44
45
46
47
48
V IDE O_R
10 U
C 49
C 40
A U X_ R
V IDE O _L
X T L _ IN
LIN E _IN _L
C
A C _S Y NC
CO DE CR ST #
C 38
AU X _L
A V DD 1
A V DD 2
A V SS 1
A V SS 2
1 2,13 A C _S Y NC
CO DE CR ST #
P HONE
C1
C6 7 5
Z661
C 44
Z662
1U
C 55
1U
LOUT L
Z331
18
Z332
C 66
1U
19
Z333
C 61
1U
20
Z334
C 60
1U
T
LO UT L
LO UT R
37
U3
.01 U
LOU T R
AGND
TP 3
8
7
6
5
S PDI F
12 S P DIF
S P DIFON
19 S P DIFON
Z335
R6 4 5
4 .7 K
C D _L
C D _L 10
C DGND
Z336
R 29
4 .7 K
R6 5 2 R6 5 1 R6 5 3
71
R
1K
1K
C DGND
C D_R
C 47
C 67
.01 U
.01 U
NO C OM
N IC NC
IN G ND
N IC V CC
C7
68 0P .22 U
1
2
3
4
SP DIF OUT
MIC IN
V D DA
P I5A 3 1 9
R6 6 3
A K M4 5 4 3
26
42
13 MCLK
MCLK R 45
DV DD 1
DV DD 2
V SS 1
V SS 2
16 A UD CLK
P C _B E E P
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
U7
C6 5 2
Z329
.1U
AGND
V D DA
8
IN
G ND
G ND 1
G ND 2
G ND 3
C 72
.1U
L9
2
3
6
7
C 70
4 .7 U
Z318
Z301
Z302
Z303
Z304
Z305
.04 7 U(R)
C6 4 7
Z306
B K 2 1 25H S 3 3 0
V CC
B K 212 5H S 33 0(R)
U5
7 8L0 5(S O8 )
C6 5 7
2 .7 K
.1U
10
C D_R 10
AGND
O P V CC
AGND
L 77
1 2,13 S D AT A O
Z6 54
B K 1 6 08H S 3 3 0
C6 4 0
C
1 2,13 S D AT A I
S D AT A I
C6 4 1
C6 3 7
C
C
C
A C _R ST # R 27
1 2,13 A C _R ST #
8,9 ,1 0,1 3 ,1 4,1 6 ,23
L 76
1 2,13 A C _B CL K
1 00
C 18
P C IR S T # R 28
P C IR S T #
R
CO DE CR ST #
C 56
B K 1 6 08H S 3 3 0
C6 3 8
C6 3 9
.01 U
C
C
68 0P 68 0P
CO DE CR ST #
5
Z350
C8
5
HC H_ VR _ 10K
C 17
6
10 K _1% (0 805 )
O UT
IN
G ND 4
G ND 1
G ND 3
G ND 2
S ET
OF F#
1
2
3
4
A ME8 8 0 7
4 .7 U
PI NNC3. 4=AG ND
Z6 55
A C _B CL K
R 12
1
6
AOUT L
A O UT L
7
3
LOUT L
LO UT L
8
2
LO UT R
LOU T R
Z6 56
B K 1 6 08H S 3 3 0
C6 3 6
AO UT R
A OU T R
AGND
L 11
S D AT A O
V CC
U2
VR 1
AGND
AGND
R 13
C6
3.6 K _ 1% (0 805 )
4.
AGND
AGND
U4
.22 U
Z339
R 18
10 K
Z337
4
C 23
.22 U
Z340
R 22
10 K
Z338
5
CN 5
1
2
H RS _ R_ HE AD 2
Z342
R 17
10 K Z344
C 14
.22 U
20
Z343
R 21
10 K Z345
C 22
.22 U
R 19
R 16
R 15
C 13
15 K
15 K
10 K
5P
10
Z565
O P V CC
L8
C 33
C 32
B K 212 5H S 33 0(R)
.1U
6
8
9
7
18
MUT E O UT
.1U
21
10 K
L_O UT -
4 .7 U
R _H P IN
R 20
3
C 30
R_L IN E IN
5P
L_O UT +
C 34
L_ H P IN
C 16
SPEAK_L
V CC
L_ L IN E IN
1U
1
12
13
24
L_ O UT +
L _OU T L _B Y PA S S
S HU T D OW N
MU T E O UT
V DD
V DD
R _O UT +
R _OU T R _B Y PA S S
S E /B T L#
H P /L INE #
MUT E IN
GN D/HS
GN D/HS
GN D/HS
GN D/HS
NC
NC
NC
R_O UT +
15
L_ O UT +
R_O UT Z341
R_O UT + C 21
1
L_O UT +
1
MS P K R
L5
B K 2 1 25H S 1 2 1
2
4 7U/1 6V
MS PK L
L4
B K 2 1 25H S 1 2 1
11
A MP _ D OW N
TT P 2
T P1 80
TT P 1
T
R 24
C 29
C 31
1U
.1U
C 20
68 0P 68 0P
R1
R9
1K
1K
Z347
Z348
Z349
C4
C 12
68 0P
68 0P .1U
C3
OPV
CN 2
5
4
3
2
1
HC H_ P HO N
SPEAKER
AGND
A MP _ D OW N
19
L6
T P A0 2 0 2
´ ¯ ⁄
„qCLEVO
‚£
C LEV O CO.
CO.
T it le
A UDIO CODEC & A MP
AGND
Size
AGND
10 0K
H P _S EN S E
B E AD (1 2 06)
AGN D:25,26,27,28,29,30,31,32,33
R 11
T P 1 T9 1
C 11
10 K
AGND
C 10
10 0K Z346
R 10
2
4 7U/1 6V
SPEAK_R
H P _S EN S E
Z550
Z551
Z552
H P _S EN S E
H P _S EN S E
1
2
H RS _ R_ HE AD 2
14
16
2
17
23
A OU T R
R _O UT +
CN 7
22
19
AO UT R
+
C 15
+
AOUT L
A O UT L
A3
Date:
Document Num ber
71-51S00-D02
Monday, Septem ber 18, 2000
S heet
21
of
T
T P 96
P W RS W #
S
D
2 N7 00 2 (R )
S4
R 7 38
0 Z7 2 9
5
3
Z 354
H CH _ 5 1 _ P W R _ BU T T O N
7
C 2 60
12 63 0 P W R S W #
63 0 P W R S W # R 2 1 3
Q
.1 U (R )
1
C
2
K B _ O N#
C
D 22
A
K B _ S US#
D 20
A
F 01 J 2 E (R )
K B _ O N# 19
P W R _ON
C 2 71
R 2 50
10 K (R )
R 2 12
10 K (R )
A
Z 356
D
D
B+
R 5 12
L T 11 21
.1 U _K % (1 2 0 6 )
.1 U _K % (1 2 0 6 )
A DA P
C 4 57
R 5 11
C 4 56
4 .7U
1 00 K (R )
G
2 N 70 02
.1 U (0 8 0 5 )
V C C3
D T B 11 4 EK (S O T -3 2 3 )
C
R 2 37
B
Z 399
V CC
Q 43
E 2 N 39 04
1 0K
1 0 0K
C
V D D3
Z 398
A
P W R _ON
J 3 2 16 HS 4 8 0
C2
C N 2 8 #4 ~# 6 , # 1 1 ~ # 1 8 ->G N D
#7 ~# 1 0 -> Z3 8 1
Z7 3 2
A
C Z7 3 4
2 N 70 02
1 SS 3 5 5
D 84
P W R _ON
L1
Z 382
R 5 13
R 7 41
1 SS 3 5 5
E
R 1 78
B ++
R 7 42
B
Z 400
VA
1 0K
R 1 86
Q 89
Z7 3 3
R 7 43
B A T O N 20
Q 90
Z7 3 5 G
4 7 0K
2 N 70 02
D T B 11 4 EK (S O T -3 2 3 )
B AT ON
1 0K
V CC
R 7 44
C 6 93
L 37
2 0 0K
1U
B K2 1 2 5 HS 3 3 0
40 M IL
Q8 9A
63 0 P W R S W # 12
D
63 0 P W R S W #
D T B 1 1 4E K (S OT -2 3)
Q 44
C 2 N 39 06
1 0K (0 80 5)
S
V CC
Q 13
2S C 46 72
V C C3
R 3 89
1 0K
3 4.8 K _1 %
A
R 6 72
.1 U
1
Z 374
2
Z 373
3
C
B
Z 372
C 3 69
Z 375
40 M IL
C N 16
1
2
3
H R S _S _ H E A D3
E
D 11
R 3 88
3
Q 14
16 F A N _S T P
F A N _S T P
R 3 76
1K
E
Z 366 B
C
R 3 91
CF
0
C
8 20
F A U LT
VO
V MI N
Z 566 2
1 SS 3 5 5
8
V DD
1 2m i l
FA N _P W M
U 26
V IN
GND
19 FA N _P W M
1
2 N 39 06
SENSE
T C 6 46
6
FA U L T #
FA U L T # 20
7
C 3 58
5
Z 370
R 3 75
.0 1U _ K %
1 0K
V CC
Z 371
R 3 77
Z 368
12 S US P E N D
S US P E N D
G
R 3 79
R 3 90
C 3 59
C 3 76
C 1 37
15 K _1 %
1 0 0K
.0 1U
.0 1U
.2 2 U _K % (0 80 5)
C
D Q 15
2 N 70 02
S
Z 369
R
R
D 54
R 3 87
C 3 68
1 SS 3 5 5
6 .8K
1U
40 M IL
R 3 78
Z 367
A
4
12 ,1 9 ,2 3 ,2 5 ,2 8,2 9
L7
J 3 2 16 HS 4 8 0
C 19
2
D 83
R 68
47 0 (0 8 0 5 ) 47 0 (0 8 0 5 ) 47 0 (0 8 0 5 ) 47 0 (0 8 0 5 )
B ++
S
G
S
72
19 P W R S W # P W R S W #
Q 87
VO
R 67
3
2
C V D D 1 .8 _ B+
3
A
Q 47
E 2 N 39 04
1K
F 01 J 2 E (R )
GND
Z7 3 6
S
R 1 69
C N 28
H C H _ R_ D C IN3
1
Z 381
DZ7 3 2
1 0 0K
B
1 0K (0 80 5)
C
Q 39
S
2 N7 00 2 (R )
G
O S#
D 30
A C I N# 1 2,2 0
C
Z 383
VA
R 2 11
V D D3
1M
T P 92
T
T P 95
T
A C I N#
1 0 0K
R 1 77
Q 86
D T B 1 1 4E K (S OT -2 3)
U 17
C
1
Z7 3 1A
VI
D 82
1 SS 3 5 5
B ++
Q8 6A
Z7 3 1
Q 88
R 7 39
R 1 68
5 60 K (R )
1 SS 3 5 5
R 7 40
Z 385
R
S H U T DO W N
VA
Z 384
12
1 U _ K % (R )
D 81
B ++
13
C 2 70
V C C3
O S#
Q
7 44 01 3 (R )
P W R _ O N 12 ,1 9 ,2 3 ,2 5 ,2 8,2 9
V C C3
2
C LK
C 2 74
.1 U (R )
K B _ S U S # 19
1S S 35 5 (R )
1 2,2 8 S H U T DO W N
D
11
V D D3
7 44 01 3 (R )
Q 40
S
D Z 353
2 N7 00 2 (R )
R
Q
GND
4
G
.1 U (R )
C LK
9
Q
R
C 2 51
10 K (R )
Z 386
14
V DD
D
1 M(R )
U 3 7B
R 2 33
V D D3
10 K (R )
U 3 7A
10 K (R )
Q 42
R 1 85
R
G
R 2 34
10
R 2 51
10 K (R )
C 2 50
.1 U
6
R 2 02
19
10 K (R )
S
19 P W R S W #
Q
Z 355
R 2 35
V D D3
8
V D D3
Q
V D D3
Z 387
V D D3
V D D3
´¯ ⁄
„qCLEVO
‚£
CLCO.
EVO CO.
T itle
POWER ON & F A N CONTROL
Size
B
Date:
Do cum ent Nu m ber
71-51S00-D02
Monday, Septem ber 18, 2 000
S heet
22
of
V CC 3
V CC 3
V CC 3
AD[0. . 31]
V CC
AD0
AD2
AD4
AD6
AD8
AD10
AD12
AD14
9,14
9,14
9,14
9,14
C/ BE#0
C/ BE#1
C/ BE#2
C/ BE#3
C /B E #0
C /B E #1
C /B E #2
C /B E #3
V CC
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
K1
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
AD1
AD3
AD5
AD7
AD9
AD11
AD13
AD15
8
7
6
5
AD[0. . 31]
R5 47
R5 46
1K
1K
RN 6
R6 70
R6 71
R5 81
R5 80
C6 89
8P 4R -4 .7K
10 K
80 P OR T _ CL K
P CIR S T #
F RA ME #
IR DY #
T R DY #
V CC
80PO RT _CLK 11
PCIRST # 8, 9,10, 13,14, 16,21
FRAME# 9,14
I RDY# 9,14
T RDY# 9,14
10 K
10 K
10 K
.1U
U 42
16 S E LEC T A
16 S E LEC T B
S E LEC T A
S E LEC T B
16
1
15
14
2
12 S MB DA T A
S MB DA T A
7
S MB CLK
9
12 S MB CLK
V CC
EA #
EB #
S0
S1
10 A
11 A
12 A
13 A
YA
10 B
11 B
12 B
13 B
YB
8
GOL D -F IG EN
1
2
3
4
9,14
6
5
4
3
Z670
10
11
12
13
T
Z669
T
S DA _RA
S DA _RB
S DA _RA
S DA _RB
SDA_ AT F
SDA_ AT F
S CL _RA
S CL _RB
S CL _RA
S CL _RB
S CL _ A T F
S CL _ A T F
5
5
2
5
5
2
G ND
QS 3 2 5 3
V CC
G
D
S CL _ A T F
2 S CL _ A T F
G
D
SDA_ AT F
2 SDA_ AT F
S
Q 75
2N 70 02
S
Q 76
2N 70 02
S C L _A T FF
S C L _A T FF
1 1,15
S D A_A T FF
S D A_A T FF
1 1,15
73
V DD 1.8
A
V CC 3
V CC 3
V CC
C 94
.1U
C 95
10 U
+1 2V
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
D C/D C
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
Co n n
B AT OK
12
RT CV DD
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
D 35
Z6 83 A
D 36
C Z389 A
1S S 3 5 5
C
Z390 A
1S S 3 5 5
D 39
C
Z391 R7 04
C
0
F0 1J 4 C
D 38
1S S 3 5 5
V CC 3
D 70
A
1S S 3 5 5
C
C N 20
C3 00
C3 08
C3 10
1U
.01U
22 U/10 V _12 10
Z392
V DD 3
C
C 93
10 U
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
D
V CC
R2 80
4 70
Q 51
S ND S 3 5 2
G
Z393
D 40
1S S 3 5 5(R)
A
C 92
.1U
P W R _ON
BA T O K
51 K
.1U
A
12 ,1 9 ,22 ,2 5,2 8,29
1.8V
C
R3 10
B+
V CC
D 42
F0 1J 2 E
C6 90
Z394
+1 2V
C 91
C6 91
R2 81
.1U
.1U
10 0K
R3 00
1K
BT 1
Z395
B AT _CR 20 23
´ ¯ ⁄
„q CLEVO
‚£
CCO.
L EV O C O.
T it le
SMBUS & RTC POWER
Size
B
Date:
Docum ent Num ber
71-51S00-D02
Monday, S eptem ber 18, 2000
S heet
23
of
D
D 74
A
F5
B+
5A
C
Z401
C2 75
0.1U F(0805)
C2 38
22UF/25V(DIP 10X5)
5
Z4 03
Q 46
2 N39 06
5
4
C2 94
Q 29
S I4884 (S O8)
V CC _CO RE
4
1
2
3
2
D 16
A
R2 55
200K (0603)
VC C2_ CO RE +
N8
N9
N 10
N 11
N 12
C
Z402
1
2
3
R2 56
10K
Q 28
S I4884 (S O8)
6
7
8
12 G CL _GA T E
R
6
7
8
11,27 VR _ON
10K
R2 76
V CC 3
R2 63
F1 J4
C
C2 86
IN T V CC
R2 95
1
0.1U F
L 53
1.5uH (MOD IN G)
Z4 16
R1 73
14m (1206)
C2 92
C2 06
C2 04
47U F/6.3V(D)
47U F/6.3V(D)
C2 07
C2 09
C2 91
47U F/6.3V(D)
47U F/6.3V(D) 2.2UF/25V(1206)
C2 90
0.1UF
Z6 90
R1 67
R
0.1U F(0805)
R1 74
14m (1206)
P2
R2 77
R
IN T V CC
Z405
2
74
C2 85
0.1U F
C2 11
C
21
2
C2 10
V CC
R2 85
IN T V CC
3
68P F
0
R2 64
Z5 48
1
Z406
17
0
4
2
15
14
R6 93 R6 94 R6 95 R6 96 R6 97
13
R
12
R
R
R
R
11
2,15 V ID 4
5
2,15 V ID 3
P GO OD
RU N/SS
IN T V c c
Q 34
IT H
V IDV c c
LT C17 36CG
E X TVcc
B OO ST
<P CB Footprint>
FCB
TG
SW
B4
BG
B3
S EN SE +
B2
SE NS E-
B1
V OS EN SE
B0
VFB
PG ND
SG ND
R2 71
1
20
R1 76
16
23
Z409
24
Z410
22
Z411
19
Z412
8
Z413
7
Z5 67
C2 20
0.01U F
P2
0
R2 74
C2 19
C2 37
C
C
2
2
0
R2 75
0
10
9
Z407
18
C2 87
R2 72 C2 82
C
560P
1
392K
2,15 V ID 1
2,15 V ID 0
P2
R
R
R
R
2
VS S_S EN SE
2
2
2
1
VS S_S EN SE
J2
S HORT -A
2
R
C2 05
S I4874 (S O8)
6
2,15 V ID 2
R6 98 R6 99 R7 00 R7 01 R7 02
C2 36
220uF /4V
Z5 68
V IN
Co s c
C2 08
220uF /4V
S I4874 (S O8)
2.2 UF/25V (1206)
C2 93
U 34
C2 81
6
7
8
Q 35
0.1U F(0805)
P2
R6 92
20m (1206)
220uF /4V
R
C2 95
220PF
F1A J3
D 23
4
1
2
3
Z404
4
R1 75
14m (1206)
A
R2 73
5
1
2
3
C2 21
1000P
6
7
8
5
R2 78
10K
D
ZD 3
C
Z6 77
1 VC C_S EN SE
J1
2
VC C_S EN SE
CORE 1.35V/1.6V 15A PK 17A
S HORT -A
P2
CO.£ ª Ñ ¥ ÷ ¦ ³ - - ¤ ½
³ Í ³CLEVO
Õ ¹ q¸
71-51S00-D01
Size
B
Date:
Docum ent Num ber
Rev
71-51S 00-D 02
Mon day, S eptem ber 1 8, 20 00
1.0
S heet
24
of
29
P3
C417
0.1UF
R461
4.7
Z425
U23
R
2
EXT VCC
RUN/SS
INT VCC
+
VIN
C142
22UF/25V(C)
P3 4
B+
+
C687
16 Z422
TG
5A
100UF/25V(6.3X7)-L
D5
F1J4
15
BOOST
L36
Z423
R89
14m(1206)
N1
1
COSC
14
SW
C430
0.22UF
5
Q17
SI4416(SO-8)
C
Z419
6
7
8
C
Z418
9
4.7UF(1206)5
F2
Q16
SI4416(SO-8)
1
2
3
R482
12 Z671
A
13
C428
6
7
8
Z688
SDS1005-4R7M
N2
D57
33PF
Z424
Z420
12,19,22,23,28,29
PWR_ON
R435
10K
Z460
Q67
2N3906
4
R460
11 Z426
BG
F1AJ3
4
ZD2
2.4V
PGOOD
10
PGND
R437
Z421 470PF
8
C668
1000P(0603)
IT H
A
C431
3
C429
SGND
SENSE+
VOSENSE
SENSE-
32.4K 1%
P3
6 Z427
Z696
C432
0.1UF
1
2
3
C419
C418
+
1000PF
R481
Z429
R
220PF
33K
7
C111
5 Z428
330UF/4V
R691
LT C1735-1
C415
47PF
0
S3
C416
R436
47PF
25.5K 1%
75
S3
S3
JUMPER SHORT
J P3
S3
JUMPER SHORT
J P2
VCC1.8 2A PK 3A
P3
CLEVO CO.
T itle
71-51S00-D01
Size
B
Date:
Document Number
71-51S00-D02
Monday, September 18, 2000
Sheet
FA 1
7A
Z 433
B -1
C A 12
IN T V C C -1
C A 10
1 2V -1
C A 33
4 .7U F/3 5 V (12 0 6)(R )
R A 27
5 R 1(0 8 05 )
0 .1U F /5 0V (1 2 06 )
V CC3-1
C A 15
0 .1 U F(0 8 05 )
+
Z 554 A
DA4
C A 19
4 .7U F/3 5 V (12 0 6)(R )
CA2
C
100UF /25V (6.3 x 7)
2 2 U F /2 5 V (D IP 1 0X 5 )
F1 J4
C A 23
2 .2U F /2 5V (1 2 06 )
DA7
B G2
14
Z 438
SW 1
SW 2
19
B G1
UA1
L T C 1 6 28
S GN D
S E N S E 2+
P GN D
S E N S E 1+
27
Z 449
25
Z 450
26
13
12
5
23
0
RA2
R
5
Z 441
6
Z 442
28
10 0 P
RA9
0
RA5
20K
11
R A 31
R
RA3
R
+
+
CA9
47 U F /6.3 V (D )
76
C
D A 73
D
R A 26
R
V OS E N S E 1
FR E Q S E T
FCB
S T B Y MD
3 .3 V ou t
FL T C P L
EXT VCC
IT H 2
C A 34
0 .1 U F
S1
+
CA8
47 U F /6.3 V (D )
V OS E N S E 2
Z 440
S1
R U N /S S 1
RA1
IN T V C C -1
C A 37
IT H 1
V C C -1
LA1
10UH(1.42)
7
Z 451
3
4
5
2
R A 25
R (1 2 06 )
R A 12
8
9
10
DA5
5 .6 V (L L -34 )
C A 28
47UF /6.3V (D)
10 m (1 2 06 )
Z 452
4
R A 11
20
DA1
D (F 1 A J 3 )
2
Z 453
3
P1
C A 30
4
40 m (1 2 06 )
Z 455
Z 456
RA4
22
Z 457
R A 10
8
Z 6 94R A 29
28 7 K
RA7
R
47UF /6.3V (D)
CA3
47UF /6.3V (D)
0
R A 37
10
CA4
+
+
C A 21
2 .2U F /2 5V (1 2 06 ) V C C 3-1
Z 454
7
+
DA3
F 1A J 3
QA 1
S I48 1 2(S O -8 )
1000P
10 5 K
C A 31
10 0 P
V C C 3-1
0
V C C -1
1 00 0 P C A 29
A
Z 6 79
CA1
22 0 P
1
Z 439
0
SENSE1-
15
Z 6 95R A 36
SENSE2-
R U N /S S 2
1000P
RA6
6 3.4 K
6
7
8
4
C A 24
0 .1 U F
3
2
1
C A 32
T G1
B OOS T 1
C
17
9
A
ZDA 1
3 .9 V (L L -34 )
B OOS T 2
A
Z 437
4
T G2
C
Z 436
Q A5 4
S I4 8 12 (S 0 -8 )
C
18
V IN
16
Z 435
A
DA2
F 1A J 3
QA 2
S I44 1 6(S O -8 )
61
72
83
0 .1 U F
3
2
1
8
7
6
C
33 m (1 2 06 )
R A 24
R (1 2 06 )
5
F1 J4
1
2
3
CA7
24
21
Z 434
14 m (1 2 06 )
LA2
S D S 12 0 8-6 R 5 M
P1
P1
IN T V C C
F1 J4
5
QA 3
S I44 1 6(S O -8 )
4
C
R A 14
R A 13
R A 35
R A 34
1 5 0(1 2 06 ) R (1 2 06 )
DA6
0 .1 U F(0 8 05 )
C A 20
2 .2U F /2 5V (1 2 06 )
V IN 1
C A 13
+
8
7
6
C A 11
100UF/25V(DIP6.3 x 7)
+
CA5
2 .2U F /2 5V (1 2 06 )
A
A
CA6
0 .1 U F
D
D A 72
R A 30
20K
C
S1
RA8
20K
Z 448
S1
A
Z 6 78
C A 26
22 0 P
C A 22
47 U F /6.3 V (D )
C A 35
1 00 0 P
Z 443
R A 33
1M
C A 36
1 00 0 P
R A 32
10K
S1
IN T V C C -1
C A 25
V IN 1
1 00 0 P
C A 27
1 00 0 P
R A 28
10K
IN T V C C -1
S1
S1
R A 17
Z 444
QA 6
2 N 3 9 04
B
R A 22
R (0 6 03 )
R A 23
R (0 6 03 )
Z 445
Z 446
C
C
E
R A 19
10K
QA 7
2 N 3 9 04
B
C A 16
C A 18
1 00 0 P
R A 20
Z 447
E
1 00 0 P
DD_O N+
10K
10K
C A 14
1 00 0 P
R A 18
20 0 K
R A 21
20 0 K
C
QA 5
E
2 N 3 9 04
C A 17
1 00 0 P
B Z 555
V R _ O N -1
V C C 3-1
V C C -1
1 2V -1
CNA1
2
2 4
1
4 6
3
6 8
5
8 10
7
10 12
9
12 14
11
14 16
13
16 18
15
18 20
17
20 22
19
22 24
21
24 26
23
26 28
25
28 30
27
30 32
29
32 34
31
34 36
33
36 38
35
38 40
37
40 42
39
42
41
D C /D C C on n A
S1
V R_O N-1
10K
V R _ O N -1
R A 16
20 0 K
B -1
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
R A 15
S1
S1
V C C 3-1
1
JA3
2
S HO RT -A
S1
1
JA2
VCC3 4A PK 6A
VCC 4A PK 6A
12V 0.22A PK 0.35A
2
S HO RT -A
P1
V C C -1
CO.£ ª Ñ ¥ ÷ ¦ ³ - ³ Í ³CLEVO
Õ ¹ q¸
1 2V -1
71-51S00-D 01
S iz e
Docum ent Num ber
Rev
C u s tom 7 1 -51 S 0 0 -D 02
Date:
M on d ay , S e p tem b er 1 8, 2 0 00
1.0
Sheet
26
of
29
V D D 1 .8_ B +
C6 6 4
Z7 2 3 C
Z6 9 2 C
D7 1
D
A
V D D 1 .8 +
N1 3
R3 4 3
7
6
4
C6 6 6
5
C
FB
1
2
Z6 9 8
5V
SD #
C6 6 7
C6 6 5
V D D 1 .8
VO
VO
GND
3
VI
ERR OR #
8
U4 9
M A C A -2 9 5 1 (S O -8 )
4 3 .2 K / F
C6 8 8
D7 5
2 .4 V
2 . 2 U F /2 5 V (1 2 0 6 )
.1 U
R6 8 9
2 . 2 U F /2 5 V (1 2 0 6 )
1K
V CC 3
Z 45 9
R3 4 5
N1 4
N1 5
10 0K/F
4 . 7 U F /6 .3 V (D ) Z 8 0 1
1
Z 46 2 2
Z 46 3 3
Z 55 6
4
U3 3
SE NSE -
VIN
IT H
P DR V
VF B
GND
R U N /S S
S YN C
8
7
5
Z 46 5
Q53
2N 390 6
C1 9 5
1 0 0 0 P (0 6 0 3 )
C3 1 4
R3 2 0
C
10 K
R3 1 7
0.01U F
C1 9 4
1 0 0 0 P (0 6 0 3 )
N7
N3
R3 1 8
9 3 .1 K
ZD 4
2 .4 V
237 K
D4 7
R3 0 6
R
F1AJ3
C1 8 3
3 3 0 U F /4 V (D )
A
Z7 0 7
Z6 9 7
10 K
V
P4
C
C3 0 1
R1 5 4
VC CT +
L5 2
S D S 1 0 0 5 -4 R 7 M
Z 46 6
L T C 162 2
11 ,2 4 V R _O N
Q20
S I3 4 4 3 ( T S O P -6 )
3
Z 46 4
6
1
2
5
6
77
Z 46 1
R1 3 9
1 4 m (1 2 0 6 )
C1 7 2
4
+
Z 46 7
R1 5 3
R
R6 9 0
0
C 3 0 3C 3 0 2
220 P220 P
R3 1 9
75 K
Z 55 7
JUM P ER SH O R T
JP 1
CLEVO CO.
Tit le
7 1 -5 1 S 0 0 -D 0 1
V DD 1.8 = 1 .8V / 100 m A
P4
V CC T = 1 .5V / 1 .5A
S iz e
B
D a t e:
D oc u m e n t N u m b e r
7 1 -5 1 S 0 0 -D 0 2
M o n d a y , S e p t e m b e r 1 8 , 2 0 0 0Sh e e t
27
of
C
R 1 27
R 1 21
0
1 00 K
R 1 20
R
R 3 50
R
15
R 3 72
R
R 3 62
R 1 29
10K
10K
R 1 25
C 1 58
1 0U F (12 0 6)
10K
28
Z4 69
9
Z4 70
C 3 66
0 .1U F
C 3 44
1U F /16 V (08 0 5)
10
Z4 71
16
R 1 30
R 1 26
R
R
P B 1/T C A P
P A 0 /P W M0
P A 1 /P W M1
P A 2 /P W M2
P A 3 /P W M3
P A 4 /S C L0
P A 5 /S D A 0
P A 6 /S C L1
P A 7 /S D A 1
V dd
1UF /16V (0805)
7
H i => R es et C h arg er
R 1 19
R 3 59
R 3 71
R
R
4.7K
4.7K
D 53
D 52
1S S 3 55 1S S 3 55
IR Q / V pp
RE SE T
P B 7 /A N 0
P B 6 /A N 1
P B 2/C S 0 (O S C 1) P B 5 /A N 2
P B 4 /A N 3
TM
P B 3/C S 1 (O S C 2)
CS A
VM
VSS
C A P (A D C )
A
A
PC4
PC5
PC6
PC7
ESV
C 3 43
IR Q
R 3 74
47K
SHDN_CT L
FULL_LED
Z5 59
22
23
24
25
26
27
2
1
P W M_C T L 29
C H G_C T L 29
Z4 80
BUS_CT L
BAT _CLK 19, 29
BA T _DAT A 19, 29
Z4 81
Z4 82
R 3 73
R 3 49
14
13
12
11
18
19
20
17
0
C
VC
R 3 57
R
C
29 A C _IN
R 1 24
10K
0
R 1 17
0
D 55
D 49
1S S 3 55 1S S 3 55
Z4 83
Z4 84
R 3 56
R 3 70
0
R
A
8
6
5
4
3
A
21
Z4 68
R 3 48
Z5 60
U 29
R 3 61
0 19 S MA L E R T
C
VC
VCC
R 3 38
R
C H G_I 29
Z4 85
VC
WI N723(SO IC-28)
R 1 33
510K
VC
C 3 30
1UF /16V (A)
8
R 3 34
3 30 (08 0 5)
15
PC4
PC5
PC6
PC7
ESV
P B 1/T C A P
P A 0 /P W M0
P A 1 /P W M1
P A 2 /P W M2
P A 3 /P W M3
P A 4 /S C L0
P A 5 /S D A 0
P A 6 /S C L1
P A 7 /S D A 1
V dd
Z4 72
78
7
28
C
9
Q58
E 2N3904
10
B A T C H A 20
16
29 BA T
D T B 11 4E K (S O T -2 3) Q71
R 77
470 (0805)
8
Z4 76
U 21
MIC 2 9 51 (S O8)
VI
R 80
470 (0805)
3
R 84
Q71 A
D T B 1 14 E K (S O T -3 2 3)
470 (0805)
SD#
Z4 75
C 4 52
P W R _ ON
FB
29
C 4 46
7
Q70
D T D 11 4E K (S O T -2 3)
2 .2U F /25 V (12 0 6)
VIN
D 60
R 4 89
1S S 3 55
1 58 k
A
C Z4 86
R 7 31
0
Z8 00
6
2_5V
Z7 24
R 4 92
1 8K /B
7
Z6 22
R 75
10 0 K /F
D 6 0 .1U F
5 .6 V
C
C 1 13
12 ,19 ,22 ,23 ,25 ,29
VO
VO
S H D N _C T L
C 1 47
C 3 37
C 3 36
1U F /16 V (08 0 5) 1U F /16 V (08 0 5) 1U F /16 V (08 0 5)
1
2
R 81
470 (0805)
VCC3
R 7 29
R
12
D3
E C 11 FS 2
C
R 3 47
10 0 K /F
U 19 A
LM3 39
1
Z4 92
C
Z4 74A
T E MP 29
2 _5 V
R 5 06
1 8K /B
R 7 32
0
C 4 44
R 78
1 00 K
2 .2U F /25 V (12 0 6)
R 4 99
10 0 K /F
C 1 02
R 72
10 K
Z6 23
Z4 90
S H U T D OW N 12 ,22
H i = > S h u td o w m sy ste m
C 6 98
Q72
2 N 39 04
R 5 09
0
D 62
1S S 3 55
A
C
C (08 0 5)
1M
R 5 00 Z4 91
0 .1U F (08 0 5)
Z4 88
VC
³ Í CLEVO
³ Õ ¹ CO.
q¸ £ ª Ñ ¥ ÷ ¦ ³ - - ¤
Q5
2 N 70 02
Q70 A
D T D 1 14 E K (S O T -3 2 3)
R 73
47 K
D 63
1S S 3 55
Z7 25
R
V_BAT 29
VC
ERROR#
GN D
5V
R 7 03
B A T F U LL 19 ,20
3 _5 V
14
13
12
11
18
19
20
17
C 1 59
1U F /16 V (08 0 5)
V IN 29
5
4
6
VA
Q59
2 N 39 06
A
Z4 79
Z7 22
D4
E C 11 FS 2
C
A
Z4 93
FULL_LED
WI N723(SSO P-28)
D 61
1S S 3 55
C
A
R 3 60
10 K
14K /B
3
C 4 45
C
R 3 37
4 3.2 K /F
R 1 28
Z7 26
R 3 58
10 K
P B 7 /A N 0
P B 6 /A N 1
P B 5 /A N 2
P B 4 /A N 3
TM
P B 3/C S 1 (O S C 2)
CS A
VM
VSS
C A P (A D C )
P B 2/C S 0 (O S C 1)
22
23
24
25
26
27
2
1
+
B
RE SE T
R 3 35
3 30 (08 0 5)
VC
-
Z4 73
29 C H G_C T L
IR Q / V pp
6
5
4
3
Z4 94
21
Z7 27
U 30
VC
Z4 89
BA T _MO DE
R 4 98
Q69
2 N 70 02
71-51S00-D01
Size
B
Docum ent Num ber
Rev
71 -51 S 00 -D 02
1 .0
10K
Date:
Mon d ay, S e pte m b er 18 , 20 00
Sheet
28
of
29
F1
A DA PT E R
5A
Z 63 0
L2 9
6 00 (1 2 06 )
Z 49 5
6 00 (1 2 06 )
C 13 0
10 P (1 2 06 )
Z 64 9
C 12 9
C 12 2
1 00 0 P
L3 0
0 .1U /50 V (1 2 06 )
D12
K S 8 23 C 0 4 (T O -2 52 )
A1
C
VA
R 10 3 100m (2512)
VC
B+
A2
Z 495
VC
C 34 5
0 .1 U F /50 V (1 2 06 )
R96
R 28 8
0
10K/F
R 43 8
100K/F
R 43 9
10K/F
R 44 0
U24D
L M32 4
10K/F
A
10
Z 496
+
Z 497 9
C 39 9
C
-
R 46 3
C
8 Z 498
Z 62 1
12
+
13
-
20K
14
Z 500
Z 501
Q6 8
2 N 3 90 4
R 46 8
D18
K S 8 23 C 0 4 (T O -2 52 )
A1
C
Z 502
51 0 K
R95
11.3K/F
V _B AT 28
CE LLS
R 46 5
2 8 A C _ IN
R 46 2
10 0 K
U24C
L M32 4
100K/F
Z 499
D59
1S S 35 5
IRQ
R 46 4
R
C 12 0
1 U F /16 V (0 8 05 )
Q7
2 N 3 90 6
C 43 3
1 U F /16 V (0 8 05 )
28 B AT
C 69 9
0 .1 U F /50 V (1 2 06 )
C 29 8
0 .1 U F /50 V (1 2 06 )
LA3
VA
4.7K
C
Z 522
Z 521
C 17 4
Z 528
R 32 5
10 0 K
1
2
3
Z 532 4
R 44 1
2K/F
D R A IN
D R A IN
D R A IN
D R A IN
8
7
6
5
Z 71 4 A
R 32 2
20K
C 67 3
C(S MT ) C(S MT )
C 40 0
C
R 46 7
D8
1S S 35 5
C
Z 523
A
Z 524
7
U24B
L M32 4
+
5
-
6
C
Q5 5
R 30 7
10K
Z 508
Z 509
Z 510
Z 511
C 14 0
1 00 0 P
R 11 2
10K
R 11 1
10 0 K
C H G_ C T L 2 8
BAT
R
R 41 7
0
R 42 5
P _CV
Z 525
C 40 1
0.1 U F
28
R 28 7
P _CV
Z 526
G Z 539
Q5 4
2 N 7 00 2
10K/F
Z 67 2
R 28 4
R76
53.6K/B
C 13 9
1 U F /16 V (0 8 05 )
18K/B
V IN
Z 512
R 42 2
5 .1 K
C 38 3
0.1 U F
R 42 1
510K
R 41 6
R 41 9
R 42 0
6.8K
R
100K
R 29 6
Z 541
220
VC
R 51 0
100K
G
10K/F
2
Z 542
R 40 4
G
Z 516
Z 520
P W R _ O N 12 ,1 9,2 2 ,23 ,2 5 ,2 8
R 68 7
0
T E MP 2 8
Z 543
12
S
D
Z 519
Z 546 S
U24A
L M32 4
R 50 4
Q6 4
Q (7 0 02 )
D
-
R 49 0
53.6K/F
Vc
R 50 3
100K
R 74 7
R79
R
G Z 74 2
Q9 2
Q (7 0 02 )
10.7K/B
B A T _ MO D E
S
C 12 1
1UF 16V (0805)
R 38 6
R
Z 69 9
C 10 5
1 0 U F/1 6 V (B )
4
100K
D
R85
3 .3 K
1
Q6
2 N 7 00 2
G Z 545
S
2
+
Z 68 9
3
S
Z 518
11
2 8 P W M_ C T L
5.1K/F
4
R 47 0
5 .1 K
Z 517
2 8 V _B A T
D
R 42 3
R 48 3
5 .1 K
383K/F
L M33 9
+ 5
-
R
R 50 1
U19B
47K
Q4
2 N 7 00 2
R 42 4
VA
B A T _CLK 19,28
CE LLS
B A T _MO DE
R 50 2
510K
BA T _DA T A 19,28
T E MP 2 8
N i = Hi
C (0 6 03 )
R 10 2
C 70 0
0 .1 U F /50 V (1 2 06 )
VC
0
D
C 69 7
Z 547
28
R 50 5
Z 564
CN4
1
2
3
4
5
R 27 9
6
0
7
8
9
10
11
12
B A T _ MO D E 1 3
14
15
16
17
18
19
20
C ON2 0
100K
499K/F
R 10 0
Z 513
Z 514
Z 515
D
44.2K/B
R97
S
1 IN +
Q 1 -E
Q 2 -E
2 IN +
2 IN VREF
1 IN G ND
R 28 9
4.5 3 K /B
100K/B
B Z 534
40.2K/F
2 8 C H G_ I
1
9
10
16
15
14
2
7
VA
R 16 2
2N3904 E
F4
7A
100K
G Z 536
Z 540
Z 507
U27
Q 1 -C
Q 2 -C
V CC
MO D E
CT
RT
DE AD
C O MP
T L 4 9 4(S O -16 )
V _B A T 2 8
R 29 7
Z 537
Z 67 6
B
79
C
18K/B
Q2 5
2 N 7 00 2
R 10 1
8
11
12
13
5
6
4
3
0
10K
C 12 8
1 U F /16 V (0 8 05 )
0
R
R 44 2
Q6 3
2 N 3 90 6
E R 11 0
R 29 9
D
Z 527
R 28 6
C
R 29 8
Z 67 4
R 46 9
2 00 K /F
C H G_ C T L
Q6 0
Q
Z 506 B
B AT 28
R 15 5
S
C 67 2
C(S MT )
E
C
D15
F 1A J 3
S I4 4 31 (S O 8 )
499K/F
VA
Q2 4
S OUR C E S
S OUR C E S
S OUR C E S
GA T E
A
100UF /25V (6.3x 7)-L 2 2 U F /25 V (2 2 20 )
100UF /25V (6.3x 7)-L
2 2 U F /25 V (2 2 20 )
10K/F
C
Q1 8
Q
E
40m (1206)
C 67 0
Z 535
4.7
Z 505 B
28
40m (1206)
R 14 0
2K/F
Z 504
R 40 5
R 14 1
0 .1U F (0 8 05 )
100UF /25V (6.3x 7)-L
R 11 8
C 67 1
D76
D
C 31 5 C 30 4 C 33 1
D50
R B 05 L
E
Q6 2
2 N 3 90 4
2 8 A C _ IN
L4 2
1 0 0 U HC 17 3
R 46 6
S I4 4 31 (S O 8 )
B
1 0 U F /25 V (1 8 12 )
8
7
6
5
Z 538
R406
36.5K/F
C 37 4
D R A IN
D R A IN
D R A IN
D R A IN
Z 533
R 41 8
Q1 9
S OUR C E S
S OUR C E S
S OUR C E S
GA T E
S D S 1 2 0 8_ L A
3
1
2
3
Z 503 4
C
C 36 7
0 .1 U F /50 V (1 2 06 )
B+
A2
C 11 2
1 U F /16 V (0 8 05 )
G
Z 544
Q7 3
2 N 7 00 2
R 49 1
0
CO.£ ª Ñ ¥ ÷ ¦ ³ - ³ Í ³CLEVO
Õ ¹ q¸
71-51S00-D 01
S iz e
C u s tom
Date:
Docum ent Num ber
Rev
7 1-5 1 S 0 0-D 0 2
Mo n d ay , S ep te m b e r 18 , 2 00 0
1.0
S heet
29
of
29