Download Part 1 - Elhvb.com

Transcript
Contents
Part 1: Introduction and Specifications.................... 1-1
Specifications .......................................................................... 1-2
Part 2: Chipsets and Mainboard .................................. 2-1
Mainboard ................................................................................ 2-2
Microprocessor ..................................................................... 2-2
82C694X Host Bridge System Controller .......................... 2-3
Southbridge Chip - VIA 82C686A ....................................... 2-3
VGA Controller – ATI 3D RAGE Mobility-128 ................. 2-4
PC Card (Card Bus) Interface Controller - TI-4450 ......... 2-4
ZV Port Custom Interface ................................................... 2-5
Super I/O Floppy Disk Controller – FDC37N869 ............. 2-5
Serial Port ............................................................................. 2-6
High Performance PCI Audio Chip - ES1988 .................... 2-6
Audio CODEC ES1921 ......................................................... 2-6
Video-In Decoder 7114A ...................................................... 2-6
Keyboard Controller - M38867M8 ...................................... 2-7
IEEE 1394 – TI PHY TSB41LV02 ....................................... 2-7
LAN 100/10 Mbps – RTL8139C ........................................... 2-7
D/D Board ................................................................................ 2-9
Top View ............................................................................... 2-9
Bottom View ......................................................................... 2-9
Mainboard Top View ............................................................ 2-10
Mainboard Bottom View ...................................................... 2-11
Connector and pin information ........................................... 2-12
HDD ..................................................................................... 2-12
CD-ROM .............................................................................. 2-13
FDD ..................................................................................... 2-13
RS-232 Serial Interface ..................................................... 2-14
Parallel Interface ............................................................... 2-14
External Keyboard/PS2 Interface .................................... 2-14
External Monitor Interface ............................................... 2-15
External S-video out Interface ........................................ 2-15
Touchpad Interface ........................................................... 2-15
External 1394 (IEEE) Interface ........................................ 2-15
External USB Interface-port A ......................................... 2-15
External USB Interface-port B ......................................... 2-15
PCMCIA Cardbus Interface Socket A .............................. 2-16
PCMCIA Cardbus Interface Socket B .............................. 2-17
Part 3: Installing a processor ....................................... 3-1
A:
B:
C:
D:
Remove the heat sink ........................................................ 3-2
Remove the existing processor ......................................... 3-2
Install a new processor ...................................................... 3-4
Install the heat sink ........................................................... 3-6
Part 4: BIOS ...................................................................... 4-1
Updating the Flash ROM BIOS ............................................. 4-2
A: Download the BIOS update from the web site. ........... 4-2
B: Create a bootable Floppy Disk. ..................................... 4-2
C: Copy your BIOS files on to the bootable Floppy Disk.4-3
D: Reboot your computer from the FDD .......................... 4-3
E: Reboot your computer from the HDD .......................... 4-3
Phoenix BIOS Post Error Messages ..................................... 4-4
Fatal Errors .......................................................................... 4-4
Nonfatal errors ..................................................................... 4-5
Flash ROM Error codes ....................................................... 4-7
Notes: ....................................................................................... 4-8
Part 5: Diagrams and part numbers ........................... 5-1
CD-ROM Assembly ................................................................. 5-2
FDD Assembly ......................................................................... 5-3
HDD Assembly ........................................................................ 5-4
LG Display Panel .................................................................. 5-5
(Panasonic, Sanyo, Hyundai, Hitachi) Display Panel .......... 5-6
Bottom View ............................................................................ 5-7
Top View .................................................................................. 5-8
Part 6: Schematics ......................................................... 6-1
Part 1: Introduction and Specifications
Part 1: Introduction and Specifications
The model 8500V notebook computer supports up to an 800MHz Intel Pentium!!! processor. The 8500V features a 15.0” TFT LCD
panel and one bay for a removable FDD module, which is interchangeable with a Zip 250MB Drive or secondary IDE HDD. This product also features one bay for a removable CD-ROM, which is interchangeable with a DVD-ROM or CD-RW Drive.
1 –1
Service Manual
Specifications
Structure
- Full PC 99 Compliant
- ACPI 1.0B Compliant
Security
- Kensington® Lock
Processor
- Intel Pentium III 500/533/550/600/650/667/700/733/750/800/850/866/933 MHz ( FC-PGA 370 Package)
Memory
- Provides 64 bit data bus system memory
- Expandable memory up to 512 MB, depend on 32/64/128/256 MB SODIMM Module
- Two PC-100 or PC-133 compliant 144-pin SODIMM sockets, support Sync DRAM SODIMM (3.3V)
BIOS
- One 256KB Flash ROM
- Phoenix BIOS with Smart Battery, Plug and Play ( 1.0a ), ACPI 1.0B
LCD
- 15.0” XGA(1024 x 768) /SXGA+(1400 x 1050) TFT LCD panel (18bit)
Display
- AGP 2X
- 128-bit Hardware 2D Accelerator Graphics Engine
- Hardware 3D Accelerator Graphics Engine
1–2
Part 1: Introduction and Specifications
- Motion Compensation and IDCT for DVD playback Acceleration
- High quality TV-out with MacroVision® V7.01 anti-copy technology
- 8 or 16MB Video memory
- CRT resolution up to 1600x1200 x16M non-interlaced
- TV resolution 1024x768 x 32bpp
- DuoView display capability under Windows 98
- Supports external Video Input
Storage
- Removable 3.5" 3-mode FDD, interchangeable with a 15 mm (h) Zip 250MB Drive (IO MEGA), or 2.5” 12.7mm(h) / 12.5mm(h) secondary IDE HDD
- Removable 24X speed CD-ROM, interchangeable with DVD-ROM or CD-RW
- Removable 2.5" 9.5mm/ 12.7 mm/ 17.0 mm (h) HDD
- Support DMA mode 2/ PIO mode 4/ ATA-33 /ATA-66 IDE HDD
Audio
- AC 97 Compliant
- Compatible with Sound Blaster Pro™
- HRTF 3D Positional Audio, DirectSound and DirectSound 3D Acceleration
- AC-3 Virtualization
- S/PDIF output for DVD content
- IIS interface for external ZV port or MPEG audio
- Built in microphone
- 2 built in 2 watt speakers
1 –3
Service Manual
Keyboard
- 101/102 Key Win 95 keyboard includes numeric keypad
PCMCIA
- Two (PCI) PCMCIA 3.3V/5V sockets, type II x2 or type III x1
- Supports Zoomed Video Port / CardBus (PC Card95)
Interface
- Built in Touchpad (PS/2)
- IEEE 1394 port
- Dual USB port
- One serial port (COM1)
- One parallel port (LPT1) , support ECP / EPP 1.7and 1.9
- Fast Infrared (FIR) file transfer, IrDA 1.1 or ASKIR (SHARP standard)
- One External CRT monitor port.
- One S-Video jack for TV output
- One RCA jack for Video input
- One PS/2 port for External keyboard or Mouse (PS/2 type)
- One jack for line-in /speaker out
- One speaker-out jack
- One jack for microphone / S/PDIF output
- One RJ-11 jack for 56K MDC Modem
- One RJ-45 jack for 100M/10M LAN
- One (120 pins) connector for Port Replicator
- DC-in jack
1–4
Part 1: Introduction and Specifications
Communication
- Wireless Infrared transfer IrDA 1.1, 1cm~1M operating distance, 4Mbps FIR
- 56K MDC Modem with V.90 compliant
- 100M/10M LAN
PMU
- Supports ACPI v1.0B
- Supports APM v1.2 Soft Off
- Device Power Management
- CPU over temperature protection
- Supports suspend to disk
- Battery low suspend
- Resume from alarm time/ modem ring ( COM port Only)
- Smart Fan Control
Power
- Full Range 65 watts AC adapter – AC in 100~240V,47~63Hz.
- One Smart Lithium-Ion Battery
Indicator
- LED with AC-IN / HDD Accessing / Battery Charge / Suspend indicator
Certificate
- FCC Class B , CE, VCCI, CTICK, UL, CUL, TUV, CB
- Windows Logo, Macrovision, CTR21, Part 68
- Environmental
1 –5
Service Manual
Spec.
- Temperature
- Relative Humidity
Operating: 50 C~ 350C; Non-Operating : -200C ~ 600C
Operating : 20% ~ 80%; Non-operation: 10% ~ 90%
Physical
- 358(w) x 280(d) x 50(h) mm3
Weight
- 4.2 kg with Lithium-Ion battery
Optional
- 3002 Lithium-Ion smart battery pack
- 8006A Port Replicator
- 8007 IO Mega 100 MB ZIP Drive
- 800D DVD-ROM Driver Module 12.7mm (h)
- 800E CD-RW Driver Module 12.7mm (h)
- 800F Software DVD Player
- 800J 56K Modem Module (MDC) with V.90 compliant
- 800I IO Mega 250MB Zip Drive
- 800H 9000mAh Lithium-Ion Battery Pack
1–6
Part 2: Chipsets and Mainboard
LVDS
Intel
Interface
Socket 370
FC-PGA
ATI
M3
AGP BUS
GTL+ HOST BUS
7114A
VIA
82C694X
TV OUT
SODIMM0
MM BUS
SODIMM1
VIDEO IN
PHY TSB41LV02
PCI BUS 3.3V
TI
4450
Part 2: Chipsets and Mainboard
ZV PORT
RTL8139C
USB
VIA
ESS1988
MOUSE
SOCKET B
MOUSE
SOCKET A
82686A
IDE
RJ-45
MDC
ESS1921
ISA BUS
PCI I/F
M38867
FDC37N869
PORT
RTC
BIOS
FDD
FIR
LPT1
COM1
EXT K/B MOUSE
INT K/B MOUSE
REPLICATOR
2–1
Service Manual
Mainboard
The mainboard is designed with Intel 370 Socket processor base and includes the following CHIPSET functions:
- 82C694X North bridge chipset solution from VIA
- 82C686A South bridge chipset solution from VIA
- ATI 3D RAGE Mobility-128 Multimedia Flat Panel Controller
- TI 4450 PC CARD Controller
- FDC37N869 super I/O Floppy Disk Controller
- ES1988 PCI Audio Chip and ES1921 AC97’ CODEC
- 7114A Video-in decoder
- M38867(Version 1.44) Keyboard Controller
- TSB41LV02 PHY for IEEE1394
- Realtek RTL8139c Controller for 10/100 Mbps LAN
Microprocessor
Intel Pentium!!! processor product line. Features include:
- P6 core (FC-PGA package)
- Separated 16K instruction and 16K data level one caches
- Integrated 256K second level cache
- 4GB of system memory which can be cached
- High performance Floating Point Unit
- Enhanced 64 bit data bus
- Dynamic Execution micro-architecture
- MMX media technology instruction
- Power management features
- Low power GTL+ Host bus specification
2–2
Part 2: Chipsets and Mainboard
82C694X Host Bridge System Controller
VIA’s 82C694X Host Bridge system controller is a highly integrated device that combines the processor bus controller, the DRAM
controller, and the PCI bus controller into one component. The 82C694X Host Bridge has multiple power management features:
- Host Interface
- Memory Interface
- PCI Interface
- AGP Interface
Southbridge Chip - VIA 82C686A
Contains the following features:
- Supported Kits for both PentiumII and Pentium!!! microprocessors
- Multifunction PCI to ISA Bridge
+ Supports PCI at 33 MHz
+ Supports PCI Rev 2.1 Specification
+ Supports Full ISA or Extended I/O BUS
- Ultra-low power for Mobile Environment Support
- Full Support for ACPI (Advanced Configuration and Power Interface) Revision 1.2
- Integrated IDE Controller Support Ultra DMA/33/66
+ Supports two 82C37 Enhanced DMA Controller
+ Supports two 82C59 Interrupt Controller
+ Supports 82C54 Timer Based
+ Supports UHCI V1.1 USB Ports
+ Supports SMBus
2–3
Service Manual
VGA Controller – ATI 3D RAGE Mobility-128
The ATI 3D RAGE MOBILITY-128 Multimedia Flat Panel Controller is a DRAM based fully integrated LCD, CRT & TV 64 bits controller for AGP systems. It contains the following functions:
- Supports displays for 8 MB or 16 MB
- 64 bit high performance 2D/3D Graphic Engine
- Supports bus master AGP and SGRAM memory
- Supports 24 bit TFT panels up to 1280x1024 resolution
- Supports non-interlaced 1600x1200x64k, 1280x1024x64k, 1024x768x16M, 800x600x16M and 640x480x16M color on CRT
- Simultaneous display in 24 bit color on flat panel and CRT
- Internal buffer provides flicker reduction
- ATI 3D RAGE MOBILITY-128 DAC can directly interface with a standard off-the-shelf NTSC/PAL encoder. Composite synchronization signals support for standard home TV connection up to 1280x1024x16M and 16:9 Wide mode
- Graphic functions optimized by a 64 bit internal data bus and VGA, SVGA and XGA flat panel
- Provide flexible and extensive power management capabilities and support four states of VESA Display Power Management Signaling Standard
- IDCT for DVD playback acceleration
- Fully compliant ZV-port interface with device driver supports for VPM
- Motion compensation
PC Card (Card Bus) Interface Controller - TI-4450
TI Card Bus Interface Controller 4450 implements the PCMCIA 2.0/JEIDA 4.1 standard. It contains the following functions:
- Supports 2 PCMCIA 2.1& JEIDA 4.2 R2 cards or 2 CardBus cards
- Yenta Registers-compatible
- Supports Zoom Video Mode
- PCMCIA dual-socket interface
- Supports Ultra Zoomed Video Ports
2–4
Part 2: Chipsets and Mainboard
- Bus Master Transfer capability
- Supports both 5V and 3.3V PC cards
- Supports PCMCIA_ATA Specification
- Supports Advanced Submicron Low Power CMOS Technology
- ACPI 1.0 Compliant
- 256 pin Micro-Star BGA package
- OHCI Link Function is IEEE 1394-1995 Compliant and compatible with Proposal 1394
ZV Port Custom Interface
The ZV (Zoomed Video) Port is a single source, point-to-point unidirectional video bus between a PC Card socket and a VGA controller. The ZV Port complies with CCIR601 timing to allow NTSC decoders to deliver real-time digital video straight into the VGA frame
buffer from a PC Card. The ZV Port also allows an industry standard mechanism for transferring digital audio PCM data to a low cost
DAC for conversion to an analog signal.
Super I/O Floppy Disk Controller – FDC37N869
The SMC FDC37N869 super I/O is optimized for mainboard applications. It provides:
- Intelligent Auto Power Management
- 16 Bit Address Qualification
- 1.44MB Super I/O Floppy Disk Controller
+ Support Vertical Recording Format
+ 16 Byte Data FIFO
+ Enhanced Digital Data Separator (Data rate up to 1Mb/s)
- Multi-Mode Parallel Port with ChiProtect Circuitry
+ Standard Mode
IBM PC/AT and PS/2 compatible bidirectional parallel port
+ Enhanced Mode
Enhanced Parallel Port (EPP) Compatible
2–5
Service Manual
+ High Speed Mode
Microsoft and Hewlett Packard Extended Capabilities Port (ECP) Compatible
Serial Port
- Two high speed NS16C550 compatible UARTs with Send/Receive 16 Byte FIFOs
- Programmable Baud Rate Generator
- Modem Control Circuitry
- Infrared-IrDA, HPSIR, ASKIR, Fast IR(4Mbps IrDA), Consumer IR Support
High Performance PCI Audio Chip - ES1988
- 500-MIPS-equivalent, dual-engine PCI audio accelerator
- HRTF 3-D positional audio acceleration
- 64 Channel wavetable synthesis
- Advanced platform for interactive 3-D gaming, DVD movie playback and internet communications
- Full plug and play
- Multi-Stream DirectSound and DirectSound3D acceleration
- Full DOS Game compatibility
- I2S Zoomed Video interface
Audio CODEC ES1921
- Single, high-performance, mixed-signal, 16 bit stereo VLSI chip
- Meet Audio Codec ‘97 analog performance specification
- Full-duplex operation for simultaneous record and playback
Video-In Decoder 7114A
The SAA7114 is a video capture device located at the image port of the VGA controller. It has the following features:
- Automatic detection of 50/60Hz field frequency and automatic switching between PAL and NTSC standards
2–6
Part 2: Chipsets and Mainboard
- Luminance and chrominance signal processing for PAL BGDHIN, Combination-PAL N, PALM, NTSC M, NTSC-Japan, NTSC 4.43
and SECAM
- Two-wire, Inter-Integrated Circuit(I2C)
- User programmable sharpness control
Keyboard Controller - M38867M8
- Memory size: 1024 bytes (RAM)
- Timers: 8 bit prescaler X 4 + 8 bit timer X 3
- Comparator: 4 bit X 8 channels
- Bus interface: 2 bytes
- Key on wake-up: 8 channels
- Interrupts: 8 external, 7 internal and 1 software
- A-D converter: 8 channels
- D-A converter: 2 channels
- PWM: 2 channels
- System bus interface: 8042 type
IEEE 1394 – TI PHY TSB41LV02
- 400Mb/S max data rate
- Available with one or three ports
- Fully compliant with OpenHCI requirements
- Advanced Power Management
- Supports IEEE 1394-1995 Isolation Barrier Feature at LINK-PHY interface
LAN 100/10 Mbps – RTL8139C
- Supports Wake-On-Lan and ACPI features
- Single-chip fast Ethernet controller
2–7
Service Manual
- Fully compliant with IEEE 802.3u 100Base-T SPEC and IEEE802.3x full duplex flow control
- Supports Analog Auto-Power-Down
2–8
Part 2: Chipsets and Mainboard
D/D Board
Top View
1) D/D Board Connectors
1
1
Bottom View
2–9
Service Manual
Mainboard Top View
1
4
7
3
2
7
6
5
8
1) LCD Connector
2) CMOS Battery
6) Switch Connector
7) RAM Socket
3) Southbridge Chip - VIA 82C686A
4) Video Chip - ATI 3D RAGE Mobility-128
8) Keyboard Connector
9) PCMCIA Connector
5) MDC Card
2 – 10
9
Part 2: Chipsets and Mainboard
Mainboard Bottom View
1)
2)
3)
4)
5)
6)
CPU Socket
D/D board connectors
FDD connector
CD-ROM connector
PCMCIA chipset
HDD connector
2
1
4
3
2
5
6
2 – 11
Service Manual
HDD
P i n De sc r i p t i o n P i n De sc r i p t i o n P i n De sc r i p t i o n P i n De sc r i p t i o n
Connector and pin information
2 – 12
1
GND
16
PI ORDY
31
DDP10
46
CS3P#
2
GND
17
PDACK#
32
DDP11
47
GND
3
HDRST#
18
I RQ14
33
DDP12
48
HDD_VCC
4
DDP7
19
PDA1
34
DDP13
49
NC
5
DDP6
20
PDA0
35
DDP14
50
NC
6
DDP5
21
CS1P#
36
DDP15
7
DDP4
22
PHD_LED#
37
NC
8
DDP3
23
HDD_VCC
38
GND
9
DDP2
24
GND
39
GND
10
DDP1
25
NC
40
GND
11
DDP0
26
GND
41
CSEL
12
GND
27
GND
42
GND
13
PDREQ
28
GND
43
NC
14
PDI OW#
29
DDP8
44
NC
15
PDI OR#
30
DDP9
45
PDA2
Part 2: Chipsets and Mainboard
CD-ROM
FDD
P i n De sc r i p t i o n P i n De sc r i p t i o n P i n De sc r i p t i o n P i n De sc r i p t i o n
P i n De sc r i p t i o n P i n De sc r i p t i o n P i n De sc r i p t i o n P i n De sc r i p t i o n
1
CD_L
16
SSDA1
31
SDDP11
46
CD_VCC
1
VCCS
16
DDS1
31
VCCS
46
DDS14
2
AGND
17
SSDA0
32
SDDP12
47
GND
2
MTR0#
17
DDS0
32
DRV0#
47
DDS15
3
CDRST#
18
SCS1S#
33
SDDP13
48
GND
3
3MODE#
18
GND
33
I NDEX#
48
NC
4
SDDP7
19
SHD_LED#
34
SDDP14
49
GND
4
TRK0#
19
SDREQ
34
DSKCHG#
49
GND
5
SDDP6
20
CD_VCC
35
SDDP15
50
NC
5
HDSEL#
20
SDI OW#
35
DI R#
50
GND
6
SDDP5
21
CD_VCC
36
SSDREQ
6
RDATA#
21
SDI OR#
36
STEP#
51
GND
7
SDDP4
22
GND
37
SSDI OR#
7
WP_FD#
22
SI ORDY
37
WDAT#
52
CSEL
8
SDDP3
23
GND
38
GND
8
WGATE#
23
SDACK#
38
NC
53
GND
9
SDDP2
24
CSEL
39
SDACK#
9
CDRST#
24
CD_I RQ
39
GND
54
NC
10
SDDP1
25
NC
40
NC
10
DDS7
25
SDA1
40
DDS8
55
PDI AG
11
SDDP0
26
CD_R
41
PDI AG
11
DDS6
26
SDA0
41
DDS9
56
SDA2
12
GND
27
AGND
42
SSDA2
12
DDS5
27
CS1S#
42
DDS10
57
CS3S#
13
SSDI OW#
28
SDDP8
43
SCS3S#
13
DDS4
28
SHD_LED#
43
DDS11
58
GND
14
SI ORDY
29
SDDP9
44
CD_VCC
14
DDS3
29
CD_VCC
44
DDS12
59
CD_VCC
15
CDI RQ
30
SDDP10
45
CD_VCC
15
DDS2
30
GND
45
DDS13
60
NC
2 – 13
Service Manual
RS-232 Serial Interface
Parallel Interface
External Keyboard/PS2 Interface
P in
De sc r i p t i o n
P in
De sc r i p t i o n
P in
De sc r i p t i o n
1
DCD (DATA Carrier Detect)
1
Strobe#
2
Data 0
2
RXD (Received Data)
3
Data 1
4
Data 2
3
TXD (Transmitted Data)
5
Data 3
6
Data 4
DTR (Data Terminal Ready)
7
Data 5
8
Data 6
GND (Signal Ground)
9
Data 7
10
ACK#
11
Busy
12
Paper Empty
13
Select
14
4
5
6
DSR (Data Set Ready)
7
RTS (Request To Send)
8
CTS (Clear To Send)
15
Error#
16
Auto
Linefeed#
I nitialize#
9
RI (Ring I ndicator)
17
Select I n
18
Ground
19
Ground
20
Ground
21
Ground
22
Ground
23
Ground
24
Ground
25
Ground
2 – 14
P i n De sc r i p t i o n
1
EKDA
2
EMDA
3
GND
4
VCC
5
EKCLK
6
EMCLK
Part 2: Chipsets and Mainboard
External Monitor Interface
P i n De sc r i p t i o n
External S-video out Interface
P in
De sc r i p t i o n
External 1394 (IEEE) Interface
P i n De sc r i p t i o n
External USB Interface-port A
P in
De sc r i p t i o n
1
BRED
1
GND
1
VPP
1
USB_VCCA
2
BGREEN
2
COMP
2
VGND
2
USBP0-
3
BBLUE
3
XLUMA
3
TPB -
3
USBP0+
4
N.C
4
XCRMA
4
TPB +
4
GND
5
GND
5
TPA -
6
GND
6
TPA +
7
GND
External USB Interface-port B
Touchpad Interface
8
GND
9
N.C
10
GND
19
Ground
20
11
N.C
21
Ground
12
DDCDA
23
Ground
13
DHSYNC
25
Ground
14
DVSYNC
15
DDCLK
P in
De sc r i p t i o n
Ground
1
USB_VCCB
22
Ground
2
USBP1-
24
Ground
3
USBP1+
4
GND
P i n De sc r i p t i o n P i n De sc r i p t i o n
2 – 15
Service Manual
PCMCIA Cardbus Interface Socket A
2 – 16
P in
De sc r i p t i o n
P in
De sc r i p t i o n
P in
De sc r i p t i o n
P in
De sc r i p t i o n
1
GND
26
GND
51
GND
76
GND
2
A-CD3
27
A-VPP
52
A-CD1#
77
A-VPP
3
A-CD4
28
A-CA16
53
A-CD11
78
A-CA22
4
GND
29
GND
54
GND
79
GND
5
A-CD5
30
A-CA15
55
A-CD12
80
A-CA23
6
A-CD6
31
A-CA12
56
A-CD13
81
A-CA24
7
GND
32
GND
57
GND
82
GND
8
A-CD7
33
A-CA7
58
A-CD14
83
A-CA25
9
A-CE1#
34
A-CA6
59
A-CD15
84
A-VS2
10
GND
35
GND
60
GND
85
GND
11
A-CA10
36
A-CA5
61
A-CE2#
86
A-RESET
12
A-OE#
37
A-CA4
62
A-VS1
87
A-WAI T#
13
GND
38
GND
63
GND
88
GND
14
A-CA11
39
A-CA3
64
A-I ORD#
89
A-I NPACK
15
A-CA9
40
A-CA2
65
A-I OWR#
90
A-REG#
16
GND
41
GND
66
GND
91
GND
17
A-CA8
42
A-CA1
67
A-CA17
92
A-BVD2#
18
A-CA13
43
A-CA0
68
A-CA18
93
A-BVD1#
19
GND
44
GND
69
GND
94
GND
20
A-CA14
45
A-CD0
70
A-CA19
95
A-CD8
21
A-WE#
46
A-CD1
71
A-CA20
96
A-CD9
22
GND
47
GND
72
GND
97
GND
23
A-RDYBY#
48
A-CD2
73
A-CA21
98
A-CD10
24
A-VCC-C
49
A-WP#
74
A-VCC-C
99
A-CD2#
25
GND
50
GND
75
GND
100
GND
Part 2: Chipsets and Mainboard
PCMCIA Cardbus Interface Socket B
P in
De sc r i p t i o n
P in
De sc r i p t i o n
P in
De sc r i p t i o n
P in
De sc r i p t i o n
1
GND
26
GND
51
GND
76
GND
2
B-CD3
27
B-VPP
52
B-CD1#
77
B-VPP
3
B-CD4
28
B-CA16
53
B-CD11
78
B-CA22
4
GND
29
GND
54
GND
79
GND
5
B-CD5
30
B-CA15
55
B-CD12
80
B-CA23
6
B-CD6
31
B-CA12
56
B-CD13
81
B-CA24
7
GND
32
GND
57
GND
82
GND
8
B-CD7
33
B-CA7
58
B-CD14
83
B-CA25
9
B-CE1#
34
B-CA6
59
B-CD15
84
B-VS2
10
GND
35
GND
60
GND
85
GND
11
B-CA10
36
B-CA5
61
B-CE2#
86
B-RESET
12
B-OE#
37
B-CA4
62
B-VS1
87
B-WAI T#
13
GND
38
GND
63
GND
88
GND
14
B-CA11
39
B-CA3
64
B-I ORD#
89
B-I NPACK
15
B-CA9
40
B-CA2
65
B-I OWR#
90
B-REG#
16
GND
41
GND
66
GND
91
GND
17
B-CA8
42
B-CA1
67
B-CA17
92
B-BVD2#
18
B-CA13
43
B-CA0
68
B-CA18
93
B-BVD1#
19
GND
44
GND
69
GND
94
GND
20
B-CA14
45
B-CD0
70
B-CA19
95
B-CD8
21
B-WE#
46
B-CD1
71
B-CA20
96
B-CD9
22
GND
47
GND
72
GND
97
GND
23
B-RDYBY#
48
B-CD2
73
B-CA21
88
B-CD10
24
B-VCC-C
49
B-WP#
74
B-VCC-C
99
B-CD2#
25
GND
50
GND
75
GND
100
GND
2 – 17
Service Manual
Notes:
2 – 18
Part 3: Installing a processor
Part 3: Installing a processor
The notebook computer supports an Intel Pentium !!! processor.
Note:
Remember to wear an antistatic wrist strap when adding or replacing the processor.
If you remove the heat sink, which is necessary to add or replace the processor, you will need to have a replacement heat sink pad
available. Before proceeding, please contact your dealer to get a replacement pad which you will need when you reinstall the
heat sink.
The 4 basic steps to Installing/Upgrading a processor are:
A: Remove the heat sink
B: Remove the existing processor
C: Install a new processor
D: Install the heat sink
3-1
Service Manual
A: Remove the heat sink
CPU cover
1) Unscrew and remove the CPU cover.
2) Remove the four screws which hold the heat sink in place.
3) Lift the heat sink out of the computer.
heat sink
B: Remove the existing processor
By this step you should have successfully removed the heat sink and are
now looking at the CPU socket with processor.
ZIF plug
2
1
The CPU socket with the ZIF plug (lock) in place.
3-2
Remove the ZIF plug from the CLOSE side of the CPU
socket.
Part 3: Installing a processor
3
4
Align the CPU tool over the CPU.
Place the CPU tool on top of the CPU.
6
5
Place the screwdriver into the open slot on the CPU case,
then hold the CPU tool (jig) in place and move the screw-
Remove the screwdriver and the CPU tool and gently lift
the CPU out of the socket.
driver in towards the CPU. (this will unlock the CPU from
its socket).
3-3
Service Manual
C: Install a new processor
Now you should be looking at an empty CPU socket. To install a new processor simply follow these steps:
2
1
The empty CPU socket without a processor module.
Gently place the processor into the opened CPU
socket and press it into place.
3
4
Align the CPU tool with the CPU socket.
3-4
Place the CPU tool on top of the CPU.
Part 3: Installing a processor
6
5
Place the screwdriver into the close slot on the CPU then
Remove the screwdriver and the CPU tool.
move the screwdriver in towards the CPU. (this will lock
the CPU securely in place).
7
8
Place the ZIF plug (lock) on the CLOSE side of the CPU
socket.
The processor is now securely locked into the CPU socket.
3-5
Service Manual
D: Install the heat sink
Note: Before installing the heat sink, make sure you place a new heat sink pad on the heat sink.
1) Peel off the old heat sink pad and stick on a new one.
2) Place the heat sink on top of the Hex studs.
3) Tighten the two heat sink screws on the CLOSE side of the CPU socket first. (this will prevent the socket from unlocking
accidentally).
4) Tighten the two heat sink screws on the OPEN side of the CPU socket.
5) Make sure the Heat sink fan plug is properly installed.
6) Replace and screw in the CPU cover.
Proper installation of the heat sink.
Improper installation of the heat sink.
3-6
Heat sink pad
Part 4: BIOS
Part 4: BIOS
4-1
Service Manual
Updating the Flash ROM BIOS
To update the FLASH ROM BIOS you must do five things:
A: Download the BIOS update from the web site.
B: Create a bootable Floppy Disk.
C: Copy you BIOS files onto the bootable Floppy Disk.
D: Reboot your computer from the FDD.
E: Reboot from the HDD.
A: Download the BIOS update from the web site.
1) Using your web browser go to www.clevo.com.tw
2) Choose Download from the menu bar at the top of the page.
3) In the Download Drivers section select the model of your computer (8500V series) and the BIOS driver.
4) Select GO to download the zip file to your computer.
5) Unzip the file into a suitable directory.
B: Create a bootable Floppy Disk.
Through Windows 98
1) Insert a Floppy Disk into your FDD. (This disk will be overwritten and all information erased, so make sure it has no important data on it!)
2) Go to My Computer or Windows Explorer.
3) Highlight the Floppy Drive icon and click the left mouse button, a menu will appear.
4) Select Format.
5) In the “Format 3 ½ Floppy Drive” window select:
Format type = Quick
Other options = Copy system files.
6) Press “Start”. (This will create a disk which you will use to boot your system and update your BIOS).
4-2
Part 4: BIOS
Through DOS
1) Insert a Floppy Disk into your FDD. (This disk will be overwritten and all information erased, so make sure it has no
important data on it!)
2) At the DOS prompt type: Format A:/s
C: Copy your BIOS files on to the bootable Floppy Disk.
Take the Unzipped BIOS files and copy them to the disk you just formatted.
D: Reboot your computer from the FDD
1) Insert the newly formatted disk with the BIOS files into your Floppy Drive.
2) Restart your computer.
Note: When your system boots from the floppy disk it will automatically update the BIOS.
E: Reboot your computer from the HDD
After the computer has been started using the floppy disk:
1) Turn off the computer.
2) Remove the floppy disk from the drive.
3) Restart the computer.
It is now running normally with the updated BIOS.
4-3
Service Manual
Phoenix BIOS Post Error Messages
Errors which occur during the POST procedure can be grouped into two categories, fatal and nonfatal. All fatal errors will not allow
the system to continue the boot process.
Fatal Errors
Er r o r
Co d e
4-4
Be e p
De sc r i p t i o n
Sequ en c e
BI OS RAM checksum
16
1-2-2-3
20
1-3-1-1
Test DRAM refresh
22
1-3-1-3
Test 8742 Keyboard controller
2C
1-3-4-1
RAM failure on address line xxxx
2E
1-3-4-3
Ram failure on DATA bits xxxx of low byte memory
bu s
30
1-4-1-1
Ram failure on DATA bits xxxx of high type memory
bu s
46
2-1-2-3
Check ROM copyright notice
58
2-2-3-1
Test for unexpected interrupts
Part 4: BIOS
Nonfatal errors
Most nonfatal errors will allow the system to boot. Should the system halt due
to a nonfatal error, a physical change
must be made to the system.
Er r o r
Co d e
De fi n i t i o n
Er r o r
Co d e
De fi n i t i o n
02
Verify real mode
1A
8237 DMA controller
initialization
04
Get CPU type
1C
Reset programmable
interrupt controller
06
I nitialize system hardware
08
I nitialize chipset registers
with initial POST values
20
Test DRAM refresh code 31-1
09
Set in POST flag
22
Test 8247 keyboard
controller (bep code 3-1-3)
0A
I nitialize CPU registers
24
0B
Enable CPU cache
Set ES segment register to
4GB
28
Autosize DRAM
0C
I nitialize cahces to initial
POST values
2A
Clear 512K base DRAM
0E
I nitialize I /O
2C
RAM failure on address line
xxxx’ (beep code 3-4-1)
0F
I nitilize the lical bus I DE
10
I nitialize Power
Management
2E
RAM failure on data bits
xxxx’ of low byte of
memory bus (beep code 3-43)
11
Load alternative keyboard
controller
32
Test CPU bus clock
frequency
12
Restore CPU control word
during warm reboot
34
Test CMOS RAM
14
I nitialize keyboard
controller
35
I nitialize alternative chipset
registers
BI OS ROM checksum (beep
code 2-2-3)
36
Warm start shutdown
16
38
Shadow system BI OS ROM
18
8254 timer initialization
3A
Autosize cache
4-5
Service Manual
Er r o r
Co d e
De fi n i t i o n
3C
Configure advanced chipset
registers
3D
Load alternate registers
with CMOS values
42
De fi n i t i o n
Er r o r
Co d e
De fi n i t i o n
54
Set key click if enabled
76
Check for keyboard errors
56
Enable keyboard
7C
58
Test for unexpected
interrupts
(beep code 2-2-3-1)
Test for hardware interrupt
vectors
7E
Test coprocessor if present
80
Disable onboard I /O ports
Display prompt "Press F2 to
enter Setup"
82
Detect and install external
RS232 ports
Test RAM between 512 and
640K
84
Detect and install external
parallel ports
85
I nitialize PC-compatible
PnP I SA devices
86
Re-intialize onboard I /O
ports
88
I nitialize BI OS Data area
8A
I nitializeExtended BI OS
Data area
8C
I nitialize floppy controller
90
I nitialize hard disk
controller
I nitialize interrupt vectors
44
I nitialize BI OS interrupts
5A
46
Check ROM copyright
notice (beep code 2-1-2-3)
5C
47
I nitialize manager for PCI
option ROMS
48
4-6
Er r o r
Co d e
Check video configuration
against CMOS
60
Test extended memory
62
Test extended memory
address lines
64
Jump to UserPatch1
66
Configure advanced cache
registers
49
I nitialize PCI bus and
devices
4A
I nitialize all video adapters
in system
68
Enable external and CPU
caches
4B
Display QuietBoot screen
6A
Display external cache size
4C
Shadow video BI OS ROM
6C
Display shadow message
4E
Display copyright notice
6E
Display non-disposable
segments
91
I nitialize local-bus hard disk
controller
50
Display CPU type and speed
70
Display error messages
92
Jump to UserPatch2
51
I ntialize EI SA board
72
Check for configuration
errors
93
Build MPTABLE for multiprocessor boards
52
Test keyboard
74
Test real time clock
94
Disable A20 address line
Part 4: BIOS
Flash ROM Error codes
Er r o r
Co d e
De fi n i t i o n
Er r o r
Co d e
De fi n i t i o n
Er r o r
Co d e
95
I nstall CD-ROM for boot
B5
Display MultiBoot menu
E2
I nitialize the chipset
Clear huge ES segment
register
B6
Check password (optional)
96
E3
I nitialize refresh counter
B8
Clear global descriptor table
E4
Check for Forced Flash
98
Search for option ROMs
BC
Clear parity checkers
E5
Check HW status for ROM
BE
Clear screen (optional)
E6
BI OS ROM is OK
BF
Check virus and backup
reminders
E7
Do a complete RAM test
C0
Try boot with I NT 19
E8
Do OEM intialization
D0
I nterrupt handler error
E9
I nitialize interrupt controller
D2
Unknown interrupt error
EA
Read in the bootstrap code
EB
I nitialize all vectors
EC
Boot the Flash program
ED
I nitialize the boot device
EE
Boot code was read OK
9A
Shadow option ROMs
9C
Set up Power Management
9E
Enable hardware interrupts
A0
Set time for day
A2
Check Keylock
D4
Pending interrupt error
A4
I nitialize typematic rate
D6
I nitialize option ROM error
D8
Shutdown error
DA
Extended block move
DC
Shutdown 10 error
A8
Erase F2 prompt
AA
Scan for F2 prompt
AC
Scan for F2 keystroke
AE
Clear on-POST flag
B0
Check for errors
B2
POST done -prepare to boot
operating system
B4
One beep
B5
Display MultiBoot menu
De fi n i t i o n
4-7
Service Manual
Notes:
4-8
Part 5: Diagrams and part numbers
Part 5: Diagrams and part numbers
5-1
Service Manual
CD-ROM Assembly
5–2
Part 5: Diagrams and part numbers
FDD Assembly
5-3
Service Manual
HDD Assembly
5–4
Part 5: Diagrams and part numbers
ITEM
1
PART
NAME
PART
NO
REMARK
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
LG Display Panel
22
23
5-5
Service Manual
(Panasonic, Sanyo, Hyundai, Hitachi) Display Panel
5–6
Part 5: Diagrams and part numbers
ITEM
PART NAME
1
HEX STUD
2
SCREW
3
4
5
6
7
8
9
10
10
10
10
10
10
11
12
13
14
15
16
17
18
MYLAR FOR CARD BUS
FDD ASS'Y 8500
MYLAR(B)
77-85V00-D0X
76-0017U-A20
76-0018U-B10
31-85V00-010
34-85V0S-010
77-85V0C-D03
34-8500S-02A
35-41120-14A
40-85053-030
79-8502J-010-A
40-85053-021
42-85063-010-A
35-41025-4RA
21
22
23
BOTTOM CASE RUBBER PAD
47-85013-010-A
33-85003-020
47-35023-010-A
24
25
CPU COVER
REAR COVER ASS'Y
BOTTOM CASE
FOOT(L)
CD-ROM ASS'Y 8500
MYLAR (A)
42-85M73-010
42-85P7Q-001
39-85013-01G
42-85063-020
79-8502Z-060
40-85053-010-A
BATTERY LOCK KNOB
HDD LOCK
LOCK KNOB SPRING
42-6208M-010
42-85083-011
20
26
27
28
29
30
31
32
33
33
34
35
36
37
38
39
40
41
42
BATTERY
BATTERY
LABEL FOR BATTERY
SCREW
FCC LABEL 8500
HDD ASS'Y 8500
MYLAR FOR BATTERY
FOOT SPRING
K/B BRACKET
SCREW
GASKET (W10*33L*5.5t)
43
44
GASKET (10*17*6.5)
45
46
47
48
MYLAR FOR DIMM
GASKET (14*10*5.5)
GASKET FOR PS2 (14*5*5.5)
SCREW
SCREW
SCREW
MODEM MODULE 4.0
SCREW
HEX STUD
SCREW
AL PLATE FOR CHIP
GASKET(W7*L50*H2)
GASKET(30*5*4t)
GASKET(9*40*3.5t)
49
50
51
52
53
54
55
56
57
58
BOTTOM CASE RUBBER PAD
REMARK
34-85V0P-010
76-9827U-840
76-0017U-810
76-0017U-820
76-0017U-A10
FOOT(R)
SCREW
FOOT RUBBER
LOCK BRACKET
19
Bottom View
SCREW
REAR BRACKET
NUT M2.5,SN
HEX STUD
HEX STUD
MAIN BOARD
HEX STUD
SDRAM CARD 32M
SDRAM CARD 32M
SDRAM CARD 32M
SDRAM CARD 64M
SDRAM CARD 64M
SDRAM CARD 128M
HEAT SINK MODULE
HEX STUD
DC/DC
HEX STUD
SCREW
PART NO
34-07009-011-A
35-26130-6R0
35-06125-6R0-A
33-85P0Q-011
36-05111-250
34-85V0N-010
34-85V0S-010
38-62026-010
87-85V8S-42A
87-85V8S-42H
45-3500M-010
35-B1120-3RA
45-85V03-030
79-8502I-010-A
40-8505M-010
38-85026-010
33-85V07-011
Reference Ass'y Dwg
(99-85005-050)
Reference Ass'y Dwg
(99-85005-070)
Reference Ass'y Dwg
(99-85005-060)
35-29120-3R5
47-00190-103
47-00190-104
47-85M23-010
40-8505S-011
47-00190-1E0
47-85093-030
35-41125-120-1
35-06130-6RA
35-41125-4R0
76-85V00-001
35-41120-3RA
34-85V0S-040
35-22120-5R0
33-85V0S-010
47-00190-071
47-00190-1S0
47-00190-401
5-7
Service Manual
35
ITEM
1
33
34
34
35
30
3
2
29
28
25
32
13
32
27
31
4
26
17
9
26
19
28
26
13
27
21
9
22
5
6
7
20
23 27
13
10
8
21 22
18
13
4
32
17
20
10
14
24
15
24
16
13
12
Top View
5–8
11
13
13
19
PART
NAME
PART
NO
1
KEYBOARD FOR 8500
80-85038-7G*
2
SPEAKER COVER (R)+NET
33-8500T-A10
3
SPEAKER COVER (L)+NET
33-8500T-A21
4
SPEAKER + CON.
23-5A020-900
5
COVER KNOB POM
42-62082-010
6
SPRING FOR COVER KNOB
38-62020-020
7
CARD BUS COVER
42-8507P-010
8
TOP CASE+G/P+LENS+POWER KNOB
39-85V01-010
9
EMI SPONGE(2) FOR K/B
47-35097-020
10
EMI SPONGE(A) REAR BRL TOP B/C
47-85093-051
11
FFC CABLE
27-9350C-850
12
SWITCH BOARD
77-8500S-D02A
13
SCREW
14
TOUCHPAD ALPS-KGDDER943A
35-41120-3RA
49-00102-010
15
16
FFC CABLE
27-9350C-F50
GLIDE PAD HOLDER
33-85002-011
17
SCREW
35-41025-4RA
18
IR LENS
42-850I2-010
19
SCREW
35-06120-6RA
20
SPRING FOR K/B LOCK KNOB
38-00R26-010
21
K/B LOCK KNOB
42-85082-030
22
K/B LOCK BRACKET
33-66002-020
23
SPONGE FOR 85 LENS
47-85092-070
24
SPONGE FOR GLIDE PAD BRACKET
47-85092-060
25
GASKET(W10*L25*H8.5)
47-00190-101
26
SCREW
35-26130-6RA
27
SCREW
35-06125-6RA
28
K/B SPRING PLATE
38-35020-010
29
LED BOARD
77-85V04-D02
30
CONNECTOR COVER
42-85072-010
31
32
CABLE
43-85V04-011
PAPER WASHER
37-02A00-800
33
GASKET(5*340*1.5)
47-00190-340
34
COPPER SHEET(W20*L340)
47-85V07-010
35
GASKET(5*110*1.5)
47-00190-B11
REMARK
Part 6: Schematics
Part 6: Schematics
6–1
Service Manual
V TT
V TT
3
HA #[3..31]
HA #[3..31]
3
HRE Q#[0..4]
H R E Q #[0..4 ]
3
A DS#
3
3
3
3
B RE Q0#
B PRI#
B NR#
HLOCK#
3
3
3
HIT#
HITM#
DEFE R#
V _CP U
V 2.5
R8
20K
3,7,12
12,29
P WROK
P WROK
R7
GCL_V GA TE
GCL_V GA TE
H R EQ # 0
H R EQ # 1
H R EQ # 2
H R EQ # 3
H R EQ # 4
A K 18
A H16
A H18
AL19
AL17
A DS#
A N31
R367
330(R)
C
A
C683
0.1U
F01J2E
3
3
3
3
A N29
A N17
A H14
A K 20
HIT#
HITM#
AL25
AL23
A N19
D EFER #
T
T
T
HTRDY# T
RS #0
RS #1
RS #2
HTRDY#
RS #0
RS #1
RS #2
V _CP U
R411
R10
R13
R15
R11
P WGOOD
330
1K
330
150
1K
11
A 20M#
11
IGNNE#
7
A 20M#
FE RR#
IGNNE#
SMI#
SMI#
A E 35
B RE Q0#
B PRI#
B NR#
HLOCK#
0(R)
D1
A K8
A H12
A H8
A N9
AL15
A H10
A L9
A H6
A K 10
A N5
A L7
A K 14
A L5
A N7
A E1
Z6
A G3
A C3
A J1
A E3
A B6
A B4
A F6
Y3
A A1
A K6
Z4
A A3
A D4
TCK
TDO
TDI
TMS
T R ST #
T PR EQ #
TP RDY#
G33
E 37
C35
E 35
A N25
A H26
A H22
A K 28
A E 33
A C35
A G37
A K 26
AJ35
AL33
A N37
A N35
A K 32
A N33
J37
A 35
A #3
A #4
A #5
A #6
A #7
A #8
A #9
A#10
A#11
A#12
A#13
A#14
A#15
A#16
A#17
A#18
A#19
A#20
A#21
A#22
A#23
A#24
A#25
A#26
A#27
A#28
A#29
A#30
A#31
SOCKET 370
RE Q#0
RE Q#1
RE Q#2
RE Q#3
RE Q#4
A DS#
IE RR#
B R0#
B PRI#
B NR#
LOCK#
HIT#
HITM#
DEFE R#
B P #2
B P #3
BP M#0
BP M#1
TRDY#
RS #0
RS #1
RS #2
A 20M#
FE RR#
IGNNE#
P WGOOD
SMI#
TCK
TDO
TDI
TMS
TRS T#
P RE Q#
P RDY#
R54
11
11
INTR
NMI
INTR
NMI
M36
L37
LINT0#/INTR
LINT1#/NMI
680
7
7
S TPCLK#
S LP#
S TPCLK#
S LP#
A G35
A H30
D#0
D#1
D#2
D#3
D#4
D#5
D#6
D#7
D#8
D#9
D#10
D#11
D#12
D#13
D#14
D#15
D#16
D#17
D#18
D#19
D#20
D#21
D#22
D#23
D#24
D#25
D#26
D#27
D#28
D#29
D#30
D#31
D#32
D#33
D#34
D#35
D#36
D#37
D#38
D#39
D#40
D#41
D#42
D#43
D#44
D#45
D#46
D#47
D#48
D#49
D#50
D#51
D#52
D#53
D#54
D#55
D#56
D#57
D#58
D#59
D#60
D#61
D#62
D#63
S TPCLK#
S LP#
DB S Y#
DRDY#
P ICCLK
P ICD1
P ICD0
INIT#
FLUS H#
RES E T#
NC/RES E T#
B CLK
BS E L#
W1
T4
N1
M6
U1
S3
T6
J1
S1
P6
Q3
M4
Q1
L1
N3
U3
H4
R4
P4
H6
L3
G1
F8
G3
K6
E3
E1
F12
A5
A3
J3
C5
F6
C1
C7
B2
C9
A9
D8
D10
C15
D14
D12
A7
A 11
C11
A 21
A 15
A 17
C13
C25
A 13
D16
A 23
C21
C19
C27
A 19
C23
C17
A 25
A 27
E 25
F16
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
H D #1 0
H D #1 1
H D #1 2
H D #1 3
H D #1 4
H D #1 5
H D #1 6
H D #1 7
H D #1 8
H D #1 9
H D #2 0
H D #2 1
H D #2 2
H D #2 3
H D #2 4
H D #2 5
H D #2 6
H D #2 7
H D #2 8
H D #2 9
H D #3 0
H D #3 1
H D #3 2
H D #3 3
H D #3 4
H D #3 5
H D #3 6
H D #3 7
H D #3 8
H D #3 9
H D #4 0
H D #4 1
H D #4 2
H D #4 3
H D #4 4
H D #4 5
H D #4 6
H D #4 7
H D #4 8
H D #4 9
H D #5 0
H D #5 1
H D #5 2
H D #5 3
H D #5 4
H D #5 5
H D #5 6
H D #5 7
H D #5 8
H D #5 9
H D #6 0
H D #6 1
H D #6 2
H D #6 3
AL27
A N27
DB S Y#
DRDY#
J33
L35
J35
A G33
A E 37
X4
A H4
W37
AJ33
RN68
4
3
2
1
H A# 1 6
H A# 3
H A# 5
H A# 6
U45A
HA #3
HA #4
HA #5
HA #6
HA #7
HA #8
HA #9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31
V TT
H D # [0 ..6 3 ]
HD#[0..63]
3
H A# 1 3
8P4R-56
RN75
4
3
2
1
5
6
7
8
H R EQ # 4
H R EQ # 2
D EFER #
H R EQ # 3
8P4R-56
RN71
4
3
2
1
5
6
7
8
H A# 1 5
H A# 1 2
HA#28
H A# 1 1
H A# 8
H A# 4
H A# 1 4
8P4R-56
RN69
4
3
2
1
5
6
7
8
H A# 2 1
H A# 1 9
H A# 2 5
H A# 1 0
8P4R-56
RN76
4
3
2
1
5
6
7
8
8P4R-56
RN80
4
3
2
1
5
6
7
8
8P4R-56
RN83
C PU R ST # 4
3
H A# 2 6
2
H A# 2 9
1
H A# 1 8
5
6
7
8
H A# 2 7
H A# 3 0
H A# 2 4
H A# 2 0
8P4R-56
RN81
4
3
2
1
5
6
7
8
H R EQ # 0
H LO C K#
H IT M #
R S# 1
8P4R-56
RN72
4
3
2
1
5
6
7
8
H A# 2 3
H A# 1 7
H A# 2 2
H A# 3 1
8P4R-56
RN70
4
3
2
1
H A# 7
BN R #
H R EQ # 1
BPR I#
DB S Y#
DRDY#
8MHz
R418
3
3
22
H A# 9
A P ICLK
A P ICLK
P ICD1
P ICD0
5
6
7
8
C445
0.1U
C483
0.1U
C424
0.1U
H D #4 5
H D #3 9
H D #3 7
H D #3 6
RN105
4
3
2
1
H D #4 3
H D #3 4
H D #2 2
H D #2 8
8P4R-56
RN104
4
3
2
1
5
6
7
8
H D #1 3
H D #1 1
H D #1 4
HD#2
8P4R-56
RN93
4
3
2
1
H D #4 0
H D #4 7
H D #4 1
H D #4 9
8P4R-56
RN107
4
3
2
1
5
6
7
8
HD#4
H D #1 5
HD#6
HD#0
8P4R-56
RN84
4
3
2
1
H D #5 1
H D #4 2
H D #2 7
H D #4 4
8P4R-56
RN106
4
5
3
6
2
7
1
8
H D #1 7
HD#8
HD#5
HD#1
8P4R-56
RN88
4
5
3
6
2
7
1
8
H D #5 2
H D #5 9
H D #4 8
H D #6 3
8P4R-56
RN108
4
3
2
1
5
6
7
8
8P4R-56
RN109
4
3
2
1
5
6
7
8
HD#54
H D #4 6
H D #5 5
H D #5 7
5
6
7
8
C484
0.1U
C67
0.1U
C486
0.1U
5
6
7
8
8P4R-56
R323
56
H D #5 3
H D #5 8
H D #6 0
H D #5 0
H D #3 0
HD#7
HD#3
H D #2 0
8P4R-56
RN94
4
3
2
1
H D #1 6
H D #2 3
H D #2 1
H D #2 4
8P4R-56
RN98
4
5
3
6
2
7
1
8
5
6
7
8
5
6
7
8
HD#35
C347
0.1U
HD#33
H D #1 9
H D #2 5
H D #2 6
8P4R-56
RN111
8
7
6
5
8P4R-56
RN110
1
8
2
7
3
6
4
5
5
6
7
8
H D #3 1
H D #2 9
H D #3 2
H D #6 1 1
H D #6 2 2
H D #5 6 3
T PR D Y# 4
C487
0.1U
H D #1 8
HD#9
H D #1 2
H D #1 0
RN89
4
3
2
1
5
6
7
8
8P4R-56
RN102
4
3
2
1
5
6
7
8
R S# 0
D R D Y#
8P4R-56
RN73
4
5
3
6
2
7
1
8
D BSY#
R S# 2
BR EQ 0 #
AD S #
8P4R-56
RN74
4
3
2
1
H TR D Y#
HIT#
C355
0.1U
8P4R-56
RN103
4
3
2
1
C469
0.1U
C116
0.1U
C346
0.1U
C356
0.1U
5
6
7
8
8P4R-56
H D # 3 8 R430
5
6
7
8
56
C485
0.1U
8P4R-56
10
V CC3
CP UINIT#
FLUS H#
CP URS T#
HCLK CP U
100/66#(B SE L0#)
CP UINIT#
7
CP URS T#
3
HCLK CP U
10
R574
27K
BS E L0
11
-FE E R#
-FE E R#
CELE RON-A
C
V _CP U
R422
27K
R421
27K
7
Q31
B
E MMBT3904
Debug port
V _CP U
TP9
TP 11
TP 12
TP7
TP2
TP1
TP4
TP5
TP8
TP6
TP 10
TP3
R4
1K
TDI
TDO
V TT
For future CPU voltage translation
T R ST #
T PR EQ #
R431
240 TP RDY#
CP URS T#
P WGOOD
TCK
TMS
HCLK CP U
FE RR#
V _CP U
V _CP U
CP UINIT#
R12
IGNNE#
R404
330
A 20M#
R417
330
INTR
R415
330
NMI
R416
330
FLUS H#
R369
330
P ICD0
R414
470
P ICD1
R407
470
330
FE RR#
R48
330(R)
S TPCLK#
R370
330
SMI#
R14
330
S LP#
R9
330
NMI
C458
1U(0805)
71-85V00-D03
KAPOK
6–2
S ize
Document Number
Custom
Date:
Friday, October 20, 2000
³Í³Õ¹q¸£
Rev
SOCKET 370
S heet
2.0A
1
of
37
Part 6: Schematics
-B S E L1
VT T
For Joshua
0.1U
C81
C73
C711
0.1U
10U(1206)
10U(1206)
V TT
V 2.5
0.1U
2
2
10U(1206)
C716
1
C715
2
C710
1
1
V _CP U
E 33
F18
K4
R6
V6
A D6
A K 12
A K 22
R49
75(1% )
0(0805)
R56
A D36
Z36
A B 36
V TT
L43
CP UV REF
C46
C125
C80
C35
C32
C127
C76
C121
C87
C49
0.1U
0.1U
0.1U
0.1U
0.1U
0.1U
1000P
1000P
1000P
V CC_1.5V
V CC_2.5V
E DGCTRL
1
C77
C86
1
C126
4.7U(1206)
2
1
1
2
C124
4.7U(1206)
2
C123
4.7U(1206)
1
1
C74
CP UP RE S#
THE RMTRIP#
THE RMDP
THE RMDN
PLL1
PLL2
4.7U(1206)
2
2
2
2
2
4.7U(1206)
4.7U(1206)
VC C _ C O R E
C36
C37
C54
C84
C122
C4
C34
C6
C33
C29
C8
C28
C18
1U(0805)
1U(0805)
1U(0805)
1U(0805)
1U(0805)
1U(0805)
0.1U
0.1U
0.1U
0.1U
0.1U
0.1U
0.1U
C148
C147
C146
C141
C117
C53
0.1U
0.1U
0.1U
0.1U
0.1U
0.1U
V TT
VC C _ C O R E
29
4.7U(1206)
C345
4.7U(1206)
2
4.7U(1206)
C407
1
1
C464
4.7U(1206)
2
4.7U(1206)
C495
2
C491
1
For Joshua
2
VID4
1
VID4
T
V 2.5
0.1U
1
C85
4.7U(1206)
0.1U
1
1
1
C733
220U/6V (E )
2
C732
220U/6V (E )
2
C687
220U/6V (E )
2
2
C686
220U/6V (E )
V CC3
V CC3
Intel
2-3
Cyrix
1-2
C2
U1
R723
0(R)
C1
JCK 1(R)
-B S E L1
2
B S E L1
3
R724
CLK REF
R35
C68
R47
150
2
B S E L1
11
S TB Y#
S MB DA TA
THE RMDP
3
THE RMDN
4
0
150
VCC
2200P
1
B S EL1#
R1
R2
1K
1K
R3
0.1U
V CC3
R725
10K
11
DX P
S MB CLK
DX N
A LE RT#
A DD1
A DD0
4.7K
15
12
S MBDA
14
11,13
S MB CL
11,13
V CC3
6
10
V2 .5
7
8
4.7U(1206)
NC
NC
NC
NC
NC
GND
GND
Z 63 7
1
5
9
13
16
R5
R321
10K (R)
10K (R)
OS#
R6
12
R322
MA X 1617(TC1066)
A G1
4.7K (R)
R26
51(1% )
R55
10K (R)
A H28
E RMTRIP#
AL31
AL29
4.7K
VC C _ C O R E
C37
W33
U33
C72
4.7U(1206)
VC C _ C O R E
1
VC C _ C O R E
C438
2
1
C437
2
C145
220U/6V (E )
2
C149
220U/6V (E )
2
C7
220U/6V (E )
2
C97
220U/6V (E )
1
1
VC C _ C O R E
1
VC C _ C O R E
E DGCTRL
VCC_CMOS
V RE F0
V RE F1
V RE F2
V RE F3
V RE F4
V RE F5
V RE F6
V RE F7
C45
4.7U(1206)
1
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
V CC-CORE
4.7U(1206)
2
A A 37
A A5
A B2
A B 34
A D32
A E5
A F2
AF34
A H24
A H32
A H36
AJ13
AJ17
AJ21
AJ25
AJ29
A J5
A J9
A K2
A K 34
A M12
A M16
A M20
A M24
A M28
A M32
A M4
A M8
B 10
B 14
B 18
B 22
B 26
B 30
B 34
B6
C3
D20
D24
D28
D32
D36
D6
E 13
E 17
E5
E9
F14
F2
F22
F26
F30
F34
F4
H32
H36
J5
K2
K 32
K 34
M32
N5
P2
P 34
R32
R36
S5
T2
T34
V 32
V 36
W5
X 34
Y 35
Z32
A 37
A B 32
A C33
A C5
A D2
A D34
AF32
AF36
A G5
A H2
A H34
AJ11
AJ15
AJ19
AJ23
AJ27
A J3
A J7
A K 36
A K4
A L1
A L3
A M10
A M14
A M18
A M2
A M22
A M26
A M30
A M34
A M6
A N3
B 12
B 16
B 20
B 24
B 28
B 32
B4
B8
D18
D2
D22
D26
D30
D34
D4
E 11
E 15
E 19
E7
F20
F24
F28
F32
F36
G5
H2
H34
K 36
L5
M2
M34
P 32
P 36
Q5
R34
T32
T36
U5
V2
V 34
X 32
X 36
Y 37
Y5
Z2
Z34
AJ31
Y 33
1
T
C47
U45B
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND/NC
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND/B S E L1
GND/CLK REF
2
VC C _ C O R E
VID0
VID1
VID2
VID3
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
AL35
A M36
AL37
AJ37
NC/V TT
NC/V TT
NC
NC
NC
NC
NC
NC
NC
NC
NC/V TT
NC
NC
NC
NC/V TT
NC/V TT
NC/V TT
NC
NC/V TT
NC
NC
NC
NC
NC
NC
NC
VID0
VID1
VID2
VID3
G37
L33
N33
N35
N37
Q33
Q35
Q37
S 33
S 37
U35
U37
V4
W3
W35
X6
Y1
E 21
E 27
R2
S 35
X2
VID0
VID1
VID2
VID3
E 29
NC
E 31
NC
F10
NC
29
29
29
29
1
1
T
T
T
T
T
T
T
T
T
T
A H20
G35
A 29
A 31
A 33
A A 33
A A 35
A C1
A C37
A F4
A K 16
A K 24
A K 30
AL11
AL13
AL21
A N11
A N13
A N15
A N21
A N23
B 36
C29
C31
C33
E 23
T
T
T
T
T
T
T
T
VC C _ C O R E
THE RMDP
THE RMDN
P LL1-2
P LL1-1
2
1
CE LERON-A
C447
1
L89
2
22uH(1210)
VCC_CORE
22U(D)
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
T
10U(1206)
T
T
T
1 5 0 ( 1%)
V TT
RTTCTRL
VC C _ C O R E
R77
R76
110(1% )
R108
110(1% )
2.7K
S LE WCTRL
For Futu re Compati bility Upg rate
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
Rev
2.0A
SOCKET 370
Friday, October 20, 2000
S heet
2
of
37
6–3
Service Manual
1
HD#[0..63]
HD#[0..63]
Near t o VT82C694X
HA#[3..31]
M21
M22
U6
W21
C_BE0
C_BE1
C_BE2
C_BE3
R82
75 1%
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
E1
F3
E2
F5
F4
F2
B6
D6
G5
F1
FRAME#
DEVSEL#
IRDY #
T RDY #
ST OP#
PLO CK#
PCIREQ#
PCIGNT #
PAR
SERR#
A6
A3
C7
F10
D8
D10
PCIRST #
REQ#0
REQ#1
REQ#2
REQ#3
REQ#4
E7
D7
E10
E8
E9
GNT #0
GNT #1
GNT #2
GNT #3
GNT #4
J4
G3
E4
C4
C-BE#0
C-BE#1
C-BE#2
C-BE#3
M25
R360
GT LVREFB
C94
0.1U
R81
75 1%
GT LVREFA
C93
0.1U
CRESET
PCLKIN
HCLKIN
P WROK
*VSUS
*SUST AT
WSC
FRAME#
DEVSEL#
IRDY #
T RDY #
ST OP#
PLO CK#
PCIREQ#
PCIGNT #
PAR
SERR#
5,7,16,18,21
7,16,18,21
5,7,16,18,21
5,7,16,18,21
7,16,18,21
PCIRST #
REQ#0
REQ#1
REQ#2
REQ#3
REQ#4
7,16,18,23
18
GNT #0
GNT #1
GNT #2
GNT #3
GNT #4
18
RN22
1
2
3
4
5,7,16,18,21 FRAME#
5,7,16,18,21 IRDY #
5,7,16,18,21 T RDY #
7,16,18,21 ST OP#
7
7
7,16,18,21
7,16,18
7,16,18
7,16,18,21
7
SERR#
PLO CK#
DEVSEL#
PCIREQ#
7
16
18
REQ#1
PCIGNT #
REQ#2
REQ#0
16
21
16
21
REQ#4
REQ#3
GNT #0
PERR#
21
18
16,18
5,7,16,18,21
16
8.2K
GNT #2
GNT #4
GNT #3
GNT #1
VCC3
21
GT LVREFA
GT LVREFB
M26
CRESET #
B2
N23
NPCLK
HCLK
AF3
AA11
AA12
P WROK
SUSST #
CRESET #
11
NPCLK
HCLK
10
10
P WROK
1,7,12
SUSST #
7,22
8
7
6
5
1
2
3
4
8P4R-4.7K
RN112
8
7
6
5
1
2
3
4
8P4R-8.2K
RN18
8
7
6
5
1
2
3
4
8P4R-8.2K
RN15
8
7
6
5
1
2
3
4
8P4R-10K
RN14
8
7
6
5
VT T
M23
E16
AE3
R80
150(1%)
VCC3
M24
VT T A F17
VT T B
GT LVREFA
GT LVREFB
R83
150(1%)
VT T
C-BE#[0..3]
T EST IN
HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3
1
VSSA
VSSA
VSSA
VSSA
PGNT 0
PGNT 1
PGNT 2
PGNT 3
PGNT 4
N21
VCCA
N22
VCCA
V6
VCCA
Y 21
VCCA
ADS
BNR
BPRI
HDBSY
DEFER
HDRDY
HIT
HIT M
HLOCK
HREQ0
HREQ1
HREQ2
HREQ3
HREQ4
HT RDY
RS0
RS1
RS2
CPURST
BREQ0
K6
K2
K4
K3
K5
J1
J2
H2
H1
J5
H3
H5
H4
G1
G2
G4
D1
D3
D2
C1
A2
C3
B3
D4
E5
A4
D5
B4
B5
A5
E6
C6
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
PCIRST
PREQ0
PREQ1
PREQ2
PREQ3
PREQ4
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
K21
H24
H26
L23
J26
K23
L24
L22
K22
J22
J23
K24
K25
J25
H25
K26
L26
L25
B23
B26
VT T
5,7,16,18,21
U46
FRAME
DEVSEL
IRDY
T RDY
ST OP
PLOCK
PHOLD
PHLDA
PAR
SERR
B1
G6
J6
F7
F9
L11
N11
M12
L13
L14
M15
L16
N16
F18
F20
G21
J21
C2
HT RDY #
RS#0
RS#1
RS#2
CPURST #
BREQ0#
ADS#
BNR#
BPRI#
DBSY #
DEFER#
DRDY #
HIT #
HIT M#
HLO CK#
HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4
HT RDY #
RS#0
RS#1
RS#2
CPURST #
BREQ0#
D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63
G25
H22
G23
H23
G24
F26
G26
G22
F22
F23
F24
F25
E23
E26
E25
D25
D26
B25
C26
A25
C25
A24
D24
C23
B24
C24
A23
E22
D23
1
1
1
1
1
1
ADS#
BNR#
BPRI#
DBSY #
DEFER#
DRDY #
HIT #
HIT M#
HLO CK#
B22
D22
E21
A22
D21
C21
A21
C20
B21
E20
A20
E19
B20
E18
D20
D19
D18
C19
B19
A18
A19
B18
C17
E17
D17
B17
C16
A17
C15
B16
D16
A16
B15
A15
D14
D15
B13
C14
E14
D13
A13
D12
B12
B14
C13
E13
D11
A12
B11
A11
B7
C12
C8
B10
A10
A9
A7
E11
D9
C11
C10
B8
A8
B9
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
1 HREQ#[0..4]
1
1
1
1
1
1
1
1
1
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
P22
N13
A14
M14
N14
E15
L15
N15
M16
C18
F19
F21
H21
H6
F6
C5
J3
A1
C22
J24
E3
M13
N12
L12
E12
M11
C9
F8
E24
A26
K1
N24
AD[0..31]
8P4R-10K
VDD3
T
VT 82C694X
VCC3
HA#[3..31]
KAPOK
³Í³Õ¹q¸£
Size
Document Num ber
Custom
NORTH BRIDGE VT82C694A/X-A
Date:
6–4
Friday, October 20, 2000
Sheet
3
of
37
Rev
2.0A
Part 6: Schematics
PCI1 66/33 MHz support.
MD[32..63]
MD[0..63]
6
MAB5
R91
10K
DQMA[0..7]
DQMA0
DQMA1
DQMA2
DQMA3
DQMA4
DQMA5
DQMA6
DQMA7
T
T
5
SRASA#
5
SCASA#
SRASA#
T
SCASA#
T
5
S WEA#
S WEA#
T
T
T
T
T
T
T
T
T
5
5
5
5
CKE0
CKE1
CKE2
CKE3
CKE0
CKE1
CKE2
CKE3
T
T
AE14
AC14
AA22
AA24
AD13
AC13
AC25
AB26
AD14
AE13
AF16
AA17
AF12
AB13
AE12
AC12
AF11
AD12
AA25
Y 22
AE11
AA10
AA23
AA26
AC22
AF23
AE24
AD23
AC23
AF24
Y7
Y8
N7
P3
P7
Y3
AE23
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GAD0
GAD1
GAD2
GAD3
GAD4
GAD5
GAD6
GAD7
GAD8
GAD9
GAD10
GAD11
GAD12
GAD13
GAD14
GAD15
GAD16
GAD17
GAD18
GAD19
GAD20
GAD21
GAD22
GAD23
GAD24
GAD25
GAD26
GAD27
GAD28
GAD29
GAD30
GAD31
GC_BE0
GC_BE1
GC_BE2
GC_BE3
GFRAME
GDEVSEL
GI RDY
GT RDY
GST OP
GPAR
CSB0
CSB1
CSB2
CSB3
CSB4
CSB5
GREQ
GGNT
DQMA0
DQMA1
DQMA2
DQMA3
DQMA4
DQMA5
DQMA6
DQMA7
DQMB1
DQMB5
ST 0
ST 1
ST 2
PIPE
RBF
WBF
AD_ST B0
AD_ST B0
AD_ST B1
AD_ST B1
SB_ST B
SB_ST B
SRASA
SRASB
SCASA
SCASB
WEA
WEB
SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7
MECC0
MECC1
MECC2
MECC3
MECC4
MECC5
MECC6
MECC7
AGPREF
CKE0
CKE1
CKE2/CSA6
CKE3/CSA7
CKE4/CSB6
CKE5/CSB7
GCLKIN
GCLKOUT
GAD0
GAD1
GAD2
GAD3
GAD4
GAD5
GAD6
GAD7
GAD8
GAD9
GAD10
GAD11
GAD12
GAD13
GAD14
GAD15
GAD16
GAD17
GAD18
GAD19
GAD20
GAD21
GAD22
GAD23
GAD24
GAD25
GAD26
GAD27
GAD28
GAD29
GAD30
GAD31
AB3
Y4
V5
T3
GBE#0
GBE#1
GBE#2
GBE#3
W2
W5
W3
Y2
V4
Y1
GFRAME#
GDEVSEL#
GI RDY #
GT RDY #
GST OP#
GPAR
L5
L3
GREQ#
GGNT #
L4
L1
M4
ST 0
ST 1
ST 2
M3
N6
M6
PIPE#
RBF#
WBF#
Y5
U5
T6
T5
N3
M5
AD_ST B0
AD_ST B#0
AD_ST B1
AD_ST B#1
SB_ST B
SB_ST B#
Install for CPU quickstart.
VCC3
MAB10
*VSSQQ
10K (R)
Install for IOQ = 1
MAB11
R88
10K (R)
* F OR TES T
VDDQ
L2
M2
M1
N2
P4
P5
P2
P1
SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7
N1
AGPVREF
N5
N4
GCLKIN
GCLK
R78
GBE#0
GBE#1
GBE#2
GBE#3
23
23
23
23
150(1%)
GFRAME#
GDEVSEL#
GI RDY #
GT RDY #
GST OP#
GPAR
23
23
23
23
23
23
R760
GREQ#
GGNT #
23
23
ST 0
ST 1
ST 2
23
23
23
PIPE#
RBF#
WBF#
23
23
AD_ST B0
AD_ST B#0
AD_ST B1
AD_ST B#1
SB_ST B
SB_ST B#
23
SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7
23,24
23,24
23,24
23,24
23,24
23,24
23,24
23,24
C150
22
R140
R145
DCLKO
AD25
DCLKWR
R29
R759
AB21
DCLKO
MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24
MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16
MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8
MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0
VCC3
AB5
AE1
AD3
AD2
AC2
AC3
AC1
AB4
AB1
AA5
AB2
AA4
AA2
AA1
AD1
W4
V2
V1
U4
U3
T4
W1
U1
T2
R5
U2
T1
R4
V3
R2
P6
R1
AD5
Near to VT83C694X
AGPVREF
C490
0.1U
100(1%)
23
VDDQ
23,24
GFRAME#
GI RDY #
GT RDY #
GST OP#
GDEVSEL#
AD_ST B0
AD_ST B1
SB_ST B
PIPE#
RBF#
GREQ#
GGNT #
WBF#
10P(R)
22
22
R442
R441
R440
R138
R144
R454
R133
R450
R437
R151
R134
R139
R146
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
8.2K
R447
R434
R435
8.2K
8.2K
8.2K
GCLKO
DCLKO
10
DCLKWR
10
C56
GCLKO
23
AD_ST B#0
AD_ST B#1
SB_ST B#
C712
10P(R)
10P(R)
Near the
82C694X
VT 82C694X
VDDQ
R443
60(1%)
R444
60(1%)
VDDQ
MD[0..31]
MD[0..63]
6
VDDQ
R93
C106
4.7U(1206)
VCC3
0(1206)
C130
C129
C128
C118
C92
C91
0.1U
1U(0805)
0.1U
1U(0805)
0.1U
1U(0805)
1
5
T
T
T
T
T
T
AE25
AD24
AD26
AC24
AC26
AB23
CSA0
CSA1
CSA2
CSA3
CSA4
CSA5
23
U820
C131
KAPOK
³Í³Õ¹q¸£
4.7U(1206)
2
T
T
AB14
AF15
AE15
AC15
AD15
AE16
*GND
*GND
CSA#0
CSA#1
CSA#2
CSA#3
K7
U7
T
T
AE2
NCOMP
AF2
PCOMP
T
AD4
*VCCQQ
W6
*VCCQ
AC4
*GND
Y6
*GND
MAB12
MAB10
MAB11
MAB12
GAD[0..31]
MAB0
MAB1
MAB2
MAB3
MAB4
MAB5
MAB6
MAB7
MAB8
MAB9
MAB10
MAB11
MAB12
MAB13
MAB14
1
11
CSA#[0..3]
MAB8
T
T
AD16
AC16
AD17
AB17
AE18
AD19
AB18
AB19
AF20
AC20
AB20
AE21
AD21
AF22
AE22
2
5
MAB8
MAB5
T
T
T
T
T
MAA0
MAA1
MAA2
MAA3
MAA4
MAA5
MAA6
MAA7
MAA8
MAA9
MAA10
MAA11
MAA12
MAA13
MAA14
AA9
VCC3
T 16
VCC3
AA18
VCC3
AA20
VCC3
V21
VCC3
N26
VCC3
AE26
VCC3
P16
VCC3
R15
VCC3
AF14
VCC3
T 14
VCC3
T 13
VCC3
R12
VCC3
T 11
VCC3
P11
VCC3
L7
*VCCQ
R7
*VCCQ
L6
VCCQ
R3
VCCQ
R6
VCCQ
AA3
VCCQ
AA7
VCCQ
11
AF17
AB16
AE17
AC17
AF18
AE19
AF19
AC18
AC19
AE20
AD20
AF21
AC21
AF25
AB22
AC5
MD0
AE5
MD1
AB6
MD2
AC6
MD3
AF6
MD4
AD7
MD5
AE7
MD6
AC8
MD7
AD8
MD8
AF8
MD9
AE8
MD10
AF9
MD11
AD10
MD12
AE10
MD13
AB11
MD14
AC11
MD15
Y 23
MD16
Y 26
MD17
W22
MD18
V22
MD19
V23
MD20
V25
MD21
U22
MD22
U25
MD23
U26
MD24
T 24
MD25
T 25
MD26
U21
MD27
R23
MD28
R26
MD29
P24
MD30
P25
MD31
MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA11
MA12
MA13
MA14
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
AF4
AE4
AF5
AD6
AE6
AB7
AC7
AF7
AB8
AB9
AC9
AE9
AB10
AC10
AF10
AD11
Y 24
Y 25
W23
W24
W26
W25
V26
U24
U23
T 22
T 23
T 26
R24
R25
P23
N25
MA[0..14]
AB15
AD9
R11
P12
T 12
AB12
P13
R13
AF13
P14
R14
P15
T 15
R16
AD18
AA19
AA6
AA8
AF26
P26
AB25
AB24
V24
AD22
R22
AA21
AF1
MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40
MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32
5
Size
Document Number
Custom
NORTH BRIDGE VT82C694A/X-B
Date:
Friday, October 20, 2000
Sheet
4
of
Rev
2.0A
37
6–5
Service Manual
RN87
4
4
4
4
MA1
MA2
MA3
MA0
MA1
MA2
MA3
MA0
4
3
2
1
5
6
7
8
IMA1
IMA2
IMA3
IMA0
IMA1
IMA2
IMA3
IMA0
6
6
6
6
IMA4
IMA5
IMA6
IMA7
6
6
6
6
8P4R -10
RN86
4
4
4
4
MA4
MA5
MA6
MA7
MA4
MA5
MA6
MA7
4
3
2
1
5
6
7
8
IMA4
IMA5
IMA6
IMA7
8P4R -10
3,7, 16,18, 21
AD[0..31]
AD[0..31]
K1
AD0
AD2
AD4
AD6
AD8
AD10
AD12
AD14
RN85
4
4
4
4
MA8
MA9
SRASA#
SCASA#
MA8
MA9
SRASA#
SCASA#
1
2
3
4
8
7
6
5
IMA8
IMA9
SRAS#
SCAS#
IMA8
IMA9
SRAS#
SCAS#
6
6
6
6
8P4R -10
3,7, 16,18, 21
3,7, 16,18, 21
3,7, 16,18, 21
3,7, 16,18, 21
4
4
4
4
4
MA10
MA12
MA13
MA11
MA14
MA10
MA12
MA13
MA11
MA14
6
6
6
6
R90
R50
R362
R89
R46
IDQ M A 3
IDQ M A 6
IDQ M A 2
IDQ M A 7
IDQMA3
IDQMA6
IDQMA2
IDQMA7
10
10
10
10
10
RN12
8
7
6
5
1
2
3
4
IMA10
IMA12
IMA13
IMA11
IMA14
IMA10
IMA12
IMA13
IMA11
IMA14
6
6
6
6
6
DQ M A 3
DQ M A 6
DQ M A 2
DQ M A 7
DQMA3
DQMA6
DQMA2
DQMA7
4
4
4
4
DQ M A 5
DQ M A 0
DQ M A 1
DQ M A 4
DQMA5
DQMA0
DQMA1
DQMA4
4
4
4
4
SWEA#
4
C-BE#0
C-BE#1
C-BE#2
C-BE#3
VCC
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
AD1
AD3
AD5
AD7
AD9
AD11
AD13
AD15
1UH
PCIRST_2#
FRAME#
IRDY #
TRDY #
10
8,23
3,7, 16,18, 21
3,7, 16,18, 21
3,7, 16,18, 21
VCC
GOLD-F IGEN
8P4R -10
6
6
6
6
IDQ M A 5
IDQ M A 0
IDQ M A 1
IDQ M A 4
IDQMA5
IDQMA0
IDQMA1
IDQMA4
4
3
2
1
RN91
5
6
7
8
8P4R -10
6
4
4
4
4
IWEA#
CSA#0
CSA#1
CSA#2
CSA#3
IWEA#
CSA#0
CSA#1
CSA#2
CSA#3
R105
1
2
3
4
10
RN90
8
7
6
5
SWEA#
IRAS#0
IRAS#1
IRAS#2
IRAS#3
IRAS#0
IRAS#1
IRAS#2
IRAS#3
6
6
6
6
CKE0
CKE1
CKE2
CKE3
4
4
4
4
8P4R -10
6
6
6
6
ICKE0
ICKE1
ICKE2
ICKE3
ICKE0
ICKE1
ICKE2
ICKE3
1
2
3
4
RN82
8
7
6
5
CKE0
CKE1
CKE2
CKE3
8P4R -10
KAPOK
³Í³Õ¹q¸£
Size
Document Number
Custom
Date:
6–6
Rev
2.0A
DAMPING
Friday , Oc tober 20, 2000
Sheet
5
of
37
Part 6: Schematics
V CC3
MD[0..63]
5
IMA [0..13]
C178
C193
C223
C284
C207
C235
C511
C519
C525
C534
C542
C578
C588
C567
C550
C248
C269
0.1U
0.1U
0.1U
0.1U
0.1U
10U(1206)
0.1U
0.1U
0.1U
10U(1206)
0.1U
0.1U
0.1U
0.1U
0.1U
0.1U
0.1U
10U(1206)
BANK0
BANK0
V CC3
4
C171
MD[0..63]
V CC3
IMA [0..13]
BANK1
BANK1
V CC3
MD[0..63]
IMA [0..13]
MAA [0..13]
CN10
GND
MD0
MD1
MD2
MD3
5
5
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
MD4
MD5
MD6
MD7
GND
IDQMA0
IDQMA1
IDQMA0
IDQMA1
IMA0
IMA1
IMA2
GND
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
GND
T
T
10
R217
5
5
5
1K (R) 5
MEMCLK1
MEMCLK1
S RA S#
IWE A#
IRA S #0
IRA S #1
S RA S#
IWE A#
IRA S #0
IRA S #1
GND
T
T
MD16
MD17
MD18
MD19
GND
MD20
MD21
MD22
MD23
IMA6
IMA8
GND
IMA9
IMA 10
5
5
11
IDQMA2
IDQMA3
GND
MD24
MD25
MD26
MD27
IDQMA2
IDQMA3
MD28
MD29
MD30
MD31
GND
S DA _RA
S DA _RA
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
V CC3
MD[0..63]
CN6
VS S
DQ0
DQ1
DQ2
DQ3
VCC
DQ4
DQ5
DQ6
DQ7
VS S
CE 0#
CE 1#
VCC
A0
A1
A2
VS S
DQ8
DQ9
DQ10
DQ11
VCC
DQ12
DQ13
DQ14
DQ15
VS S
RES V D/DQ64
RES V D/DQ65
VS S
DQ32
DQ33
DQ34
DQ35
VCC
DQ36
DQ37
DQ38
DQ39
VS S
CE 4#
CE 5#
VCC
A3
A4
A5
VS S
DQ40
DQ41
DQ42
DQ43
VCC
DQ44
DQ45
DQ46
DQ47
VS S
RES V D/DQ68
RES V D/DQ69
RFU
VCC
RFU
WE#
RE 0#
RE 1#
QE#
VS S
RES V D/DQ66
RES V D/DQ67
VCC
DQ16
DQ17
DQ18
DQ19
VS S
DQ20
DQ21
DQ22
DQ23
VCC
A6
A8
VS S
A9
A 10
VCC
CE2#/RE SV D
CE3#/RE SV D
VS S
DQ24
DQ25
DQ26
DQ27
VCC
DQ28
DQ29
DQ30
DQ31
VS S
SDA
VCC
RFU
VCC
RFU
RFU
RFU
RFU
RFU
VS S
RES V D/DQ70
RES V D/DQ71
VCC
DQ48
DQ49
DQ50
DQ51
VS S
DQ52
DQ53
DQ54
DQ55
VCC
A7
A 11
VS S
A 12
A 13
VCC
CE6#/RE SV D
CE7#/RE SV D
VS S
DQ56
DQ57
DQ58
DQ59
VCC
DQ60
DQ61
DQ62
DQ63
VS S
S CL
VCC
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
GND
MD32
MD33
MD34
MD35
GND
MD0
MD1
MD2
MD3
MD36
MD37
MD38
MD39
GND
IDQMA4
IDQMA5
MD4
MD5
MD6
MD7
GND
IDQMA0
IDQMA1
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
ICK E0
IDQMA4
IDQMA5
5
5
5
5
IDQMA0
IDQMA1
IMA3
IMA4
IMA5
GND
MD40
MD41
MD42
MD43
IMA0
IMA1
IMA2
GND
MD8
MD9
MD10
MD11
MD44
MD45
MD46
MD47
GND
MD12
MD13
MD14
MD15
GND
T
T
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
T
T
S CA S#
ICK E1
IMA 14
MEMCLK2
GND
ICK E0
5
10
S CA S#
ICK E1
IMA 14
5
5
5
5
5
5
5
MEMCLK2
10
T
T
R496
1K (R)
MEMCLK3
S RA S#
IWE A#
IRA S #2
IRA S #3
MEMCLK3
S RA S#
IWE A#
IRA S #2
IRA S #3
GND
T
T
R548
1K
MD48
MD49
MD50
MD51
GND
MD52
MD53
MD54
MD55
MD16
MD17
MD18
MD19
GND
MD20
MD21
MD22
MD23
IMA7
IMA 11
GND
IMA 12
IMA 13
IMA6
IMA8
GND
IMA9
IMA 10
IDQMA6
IDQMA7
GND
MD56
MD57
MD58
MD59
IDQMA6
IDQMA7
MD60
MD61
MD62
MD63
GND
SCL_RA
SCL_RA
5
5
5
5
11
11
IDQMA2
IDQMA3
S DA _RB
IDQMA2
IDQMA3
GND
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
GND
S DA _RB
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
SO C KET -D IM M 1 4 4- R
VS S
DQ0
DQ1
DQ2
DQ3
VCC
DQ4
DQ5
DQ6
DQ7
VS S
CE 0#
CE 1#
VCC
A0
A1
A2
VS S
DQ8
DQ9
DQ10
DQ11
VCC
DQ12
DQ13
DQ14
DQ15
VS S
RES V D/DQ64
RES V D/DQ65
VS S
DQ32
DQ33
DQ34
DQ35
VCC
DQ36
DQ37
DQ38
DQ39
VS S
CE 4#
CE 5#
VCC
A3
A4
A5
VS S
DQ40
DQ41
DQ42
DQ43
VCC
DQ44
DQ45
DQ46
DQ47
VS S
RES V D/DQ68
RES V D/DQ69
RFU
VCC
RFU
WE#
RE 0#
RE 1#
QE#
VS S
RES V D/DQ66
RES V D/DQ67
VCC
DQ16
DQ17
DQ18
DQ19
VS S
DQ20
DQ21
DQ22
DQ23
VCC
A6
A8
VS S
A9
A 10
VCC
CE2#/RE SV D
CE3#/RE SV D
VS S
DQ24
DQ25
DQ26
DQ27
VCC
DQ28
DQ29
DQ30
DQ31
VS S
SDA
VCC
RFU
VCC
RFU
RFU
RFU
RFU
RFU
VS S
RES V D/DQ70
RES V D/DQ71
VCC
DQ48
DQ49
DQ50
DQ51
VS S
DQ52
DQ53
DQ54
DQ55
VCC
A7
A 11
VS S
A 12
A 13
VCC
CE6#/RE SV D
CE7#/RE SV D
VS S
DQ56
DQ57
DQ58
DQ59
VCC
DQ60
DQ61
DQ62
DQ63
VS S
S CL
VCC
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
GND
MD32
MD33
MD34
MD35
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
ICK E2
MD36
MD37
MD38
MD39
GND
IDQMA4
IDQMA5
IDQMA4
IDQMA5
5
5
ICK E2
5
S CA S#
ICK E3
IMA 14
5
5
5
MEMCLK4
10
IMA3
IMA4
IMA5
GND
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
GND
T
T
S CA S#
ICK E3
IMA 14
MEMCLK4
GND
R199
1K
T
T
MD48
MD49
MD50
MD51
GND
MD52
MD53
MD54
MD55
IMA7
IMA 11
GND
IMA 12
IMA 13
IDQMA6
IDQMA7
GND
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
GND
SCL_RB
IDQMA6
IDQMA7
SCL_RB
5
5
11
SOCK E T-DIMM144
V CC3
V CC3
C151
C166
C154
C172
C191
C210
C230
C239
C247
C494
C504
C515
C524
C535
C546
C554
C566
0.1U
0.1U
0.1U
10U(1206)
0.1U
0.1U
0.1U
10U(1206)
0.1U
0.1U
0.1U
0.1U
10U(1206)
0.1U
0.1U
0.1U
0.1U
C479
V CC3
V CC3
V CC3
C314
C313
C497
C496
100U(D)
100U(D)
100U(D)
100U(D)
10U(1206)
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
Friday, October 20, 2000
Rev
2.0A
SO-DIMM
S heet
6
of
37
6–7
Service Manual
R659
10K (R)
R660
10K
R661
10K
V CC3
V CC3
V DD3
U15
A D[0..31]
4.7U(1206)
0.1U
1
C512
C195
C513
4.7U(1206)
0.1U
2
C168
2
1
V DD3
3,5,16,18,21
3,16,18,21
A D18
A D18 R124
C-B E#[0..3]
3,5,16,18,21 FRA ME#
3,5,16,18,21 IRDY#
3,5,16,18,21 TRDY#
3,16,18,21 S TOP#
3,16,18,21 DE V SE L#
3,16,18
S E RR#
3,16,18,21
PAR
100
3
P CIRE Q#
3
P CIGNT#
3,16,18,23 P CIRS T#
16,18,21 INTRA#
18,21
INTRB#
16,18
INTRC#
18,23
INTRD#
10
M17
M19
M18
L20
M16
M20
N19
N17
N18
N16
A D0
A D1
A D2
A D3
A D4
A D5
A D6
A D7
A D8
A D9
A D10
A D11
A D12
A D13
A D14
A D15
A D16
A D17
A D18
A D19
A D20
A D21
A D22
A D23
A D24
A D25
A D26
A D27
A D28
A D29
A D30
A D31
L17
L16
K 20
K 19
K 18
K 17
K 16
J20
J18
J17
J16
H20
H19
H18
H17
H16
F16
E 20
E 19
E 18
E 17
D20
D19
D18
B 20
A 20
A 19
B 19
A 18
B 18
C18
A 17
C-B E#0
C-B E#1
C-B E#2
C-B E#3
J19
G20
F17
C19
FRA ME#
IRDY#
TRDY#
S TOP#
DE V SE L#
S E RR#
PAR
P CIRE Q#
P CIGNT#
P CIRS T#
F18
F19
F20
G17
G16
G18
G19
C20
L18
L19
B 16
INTRA#
INTRB#
INTRC#
INTRD#
A 16
D17
C17
B 17
S P CLK
C526
S P CLK
E 16
Y5
10p
P DA0
P DA1
P DA2
P DCS1
P DCS3
P DDACK
P DDRE Q
P DIOR
P DIOW
P DRDY
A D0
A D1
A D2
A D3
A D4
A D5
A D6
A D7
A D8
A D9
A D10
A D11
A D12
A D13
A D14
A D15
A D16
A D17
A D18
A D19
A D20
A D21
A D22
A D23
A D24
A D25
A D26
A D27
A D28
A D29
A D30
A D31
C_B E0
C_B E1
C_B E2
C_B E3
FRA ME
IRDY
TRDY
S TOP
DE V SEL
SE RR
PAR
IDS EL
RE Q
GNT
P CIRST
PINTA
PINTB
PINTC
PINTD
P CICLK
RTCX1
*:VSUS
SDD0/B ITCLK
* S DD1/S DIN
*S DD2/SDIN2
S DD3/SY NC
SDD4/S DOUT
S DD5/-A CRST
S DD6/JB Y
S DD7/JB X
S DD8/JA Y
S DD9/JA X
S DD10/JA B2
S DD11/JA B1
S DD12/JB B2
S DD13/JB B1
S DD14/MS O
S DD15/MS I
S DA0
S DA1
S DA2
S DCS1
S DCS3
S DDACK
S DDRE Q
S DIOR
S DIOW
S DRDY
A 20M
CP URST
FE RR
IGNNE
INIT
INTR
NMI
S LP /GP O7
S MI
S TP CLK
CPUSTP /GP O4
P CISTP /GP O5
CLKRUN
SP K R
GP IOA /GP IO8
GP IOD
*P WRGD
*S MBCLK
*S MBDA TA
* GP O0
* S USST1/GP O6
*S USCLK
C527 10p
D13
A
F01J2E
C
R9
R10
V DD3
C521
V _B AT
C
0.1U
W5
2
V DD3
D14
C174
C175
A
F01J2E
0.1U
10U(1206)
R483
1K
V CC3
Y6
H15
J15
K 15
M15
N15
R7
R8
R11
R14
B ITCLK
S DIN
SDIN2
SY NC
S DOUT
A CRST#
0
0
0
22
22
22
R727
T
T
T
T
TR643
10K
B A KCTL#
R726
10K
R644
B A KCTL#
27
S US ST#
A 20M#-
SUS _CLK
S D_A0
S D_A1
S D_A2
-S DCS _1
-S DCS _3
-DDACK _B
DDREQ_B
-DIOR_B
-DIOW _B
HDRDY _B
9
9
9
9
9
9
9
9
9
9
A 20M#-
11
-FE E R#
IGNNE #-
1
11
INTRNMI-
11
11
IN5
IN2A
IN2B
RTCX2
TS E N1
V REF
V B AT
TS E N2
V CC
V CC
V CC
V CC
V CC
V CC
V CC
V CC
V CC
FA N1
FA N2/GP IOB /GP IO9
S D_80P
R206
10K
S US _S T2#
R696
10K
S US B#
R697
10K
13
0(R)
W6
U9
T9
P WROK
S MB CLK
S MB DA TA
T8
V 10
T10
R464
10K
S US ST#
SUS _CLK
GNDHWM
R279
4.7K
S TP CLK _S#
R280
4.7K
CINIT_S#
R281
4.7K
S LP _S#
R249
4.7K
1,3,12
11
11
12,13
For Intel new CPU
7407
14
1
S MI_S#
LID
S US _S T2#
S US B#
P WR_ON
R647
22K
S TP CLK _S#
3
U33B
CINIT_S#
Q59
2N7002
G
12,31 S HUTDOWN
C635
1U
S MI#
1
4
S TP CLK#
1
CP UINIT#
1
S LP#
1
7407
5
U33C
R740
100K
3,22
WA KE
FAULT#
S CI
13
12,15
13
P D_80P
LID
ACIN#
9
25
11,13
S US _S T2#
S US B#
P WR_ON
18,22,27
26
12,13,28,29,31
F15
G15
L15
P 15
R15
2
RS MRS T#
S LP _S#
6
7407
9
U33D
E X TSMI#
WA KE
FAULT#
S CI
P WRB TN#
RS MRS T#
P D_80P
R761
0(R)
ACIN#
10K
7407
P WRB TN#
R648
0
PW RS W#
8
7407
12
V DD3
V CC
V CC3
R465
4.7K
V CC2_5
R432
10K
VCCP
R452
53K (1% )
C482
R445
16K (1% )
C480
Y 14
R142
W14
10K (1% )
U13
C493
1U(0805)
V 13
C153
1U(0805)
V 14
R132
0(R)
W13
R156
10K
T13
R459
10K
Y 13
R149
10K
T12
R462
10K
E X TSMI#
0.1U
R137
13
10K (1% )
Q11
2N7002
G
E XTSMI
R467
100K
DIS BL
V CC3
16,18,21 INTRA#
18,21
INTRB#
16,18
INTRC#
18,23
INTRD#
HM_GND
15
INTRA#
INTRB#
INTRC#
INTRD#
1
2
3
4
RN138
8
7
6
5
8P 4R-4.7K
V CC3
U12
FLA SH#
12
For Audio/Game
V CC3
VCCHWM
10K
S MI_S#
U33A
CLK RUN#
14,16,18,21,23
15
V CC3
SE LECTA
11
SE LECTB
11
10K
V CC3
10K
P WROK
S MB CLK
S MB DA TA
CP U_FA N
V DD3
S US ST#
R120
V CC
U33F
S PE AK
10K
R466
R433
4.7K
R121
P CIRE Q#
R159
10K
AMP _DOW N# 22
DV D_DRV
21
R698
S PE AK
R699
4.7K
R210
P CIGNT#
12
V CC3
Y 12
V 12
T14
U8
R211
NMI-
10
V DD3
W12
V5
INTR-
7407
V CC3
0.1U
IN12
10K
11
R158
10K
4.7K
4.7K
R155
U33E
+12V
GND
GND
GND
GND
GND
S D_80P
4.7K
R212
4.7K
R160
P D_80P
R213
IGNNE #10K
R129
S MB DA TA
A 20M#10K
R460
S MB CLK
V CC3
-FE E R#
IGNNE #CINIT_S#
INTRNMIS LP _S#
S MI_S#
S TP CLK _S#
R471
V CC3
R12
R13
C502
C503
0.1u
10u(1206)
V T82C686A
HM_GND
B A T1
22
22
22
22
22
22
25,27,31
8,9
10K
10K
Y7
V8
V7
Y8
T6
W8
U7
T7
U6
W7
T
B ITCLK
S DIN
SDIN2
SY NC
S DOUT
A CRST#
B A TFULL
V DD3
S D_A0
S D_A1
S D_A2
-S DCS _1
-S DCS _3
-DDACK _B
DDREQ_B
-DIOR_B
-DIOW _B
HDRDY _B
V9
*S USA /A P ICACK /GP O1 W9
*S USB /A P ICCS /GP O2 Y9
* SUSC
CHA S/GP IOC/GP IO10
V CCSUS
V CCSUS
R118
R119
R123
R126
R128
R428
U19
V 18
U20
U17
U18
V 19
Y 20
W19
W20
V 20
Y 10
* E XTS MI V 11
*RING/GP I7
T11
*P ME/GP I5/THRM U11
*B A TLOW/GP I2 Y 11
* P WRB TN V6
*RS MRST W11
* GP I1/IRQ8
U10
* LID/APICRE Q/GP I3 W10
* SMBA LT/GP I6
X1
32.768K Hz
W18
V 17
Y 17
V 16
Y 16
U15
W15
U14
Y 15
V 15
T15
W16
U16
W17
Y 18
Y 19
D
3,5,16,18,21
P D_A0
P D_A1
P D_A2
-P DCS _1
-P DCS _3
-DDACK _A
DDREQ_A
-DIOR_A
-DIOW _A
HDRDY _A
P DD0
P DD1
P DD2
P DD3
P DD4
P DD5
P DD6
P DD7
P DD8
P DD9
P DD10
P DD11
P DD12
P DD13
P DD14
P DD15
S
9
9
9
9
9
9
9
P D_A0
P D_A1
P D_A2
-P DCS _1
-P DCS _3
-DDACK _A
DDREQ_A
-DIOR_A
-DIOW _A
HDRDY _A
P 16
P 18
P 20
R17
R19
T16
T18
T20
T19
T17
R20
R18
R16
P 19
P 17
N20
D
9
9
9
P DD0
P DD1
P DD2
P DD3
P DD4
P DD5
P DD6
P DD7
P DD8
P DD9
P DD10
P DD11
P DD12
P DD13
P DD14
P DD15
S
PDD[0..15]
1
9
L96
0
L93
0
R474
1K
15 S PE AK
S P E AK_
R475
4.7K (R)
For SDD[15..0]
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Rev
2.0A
SOUTH BRIDGE VT82C686A-A
Date:
6–8
Fri day, October 20, 2000
S heet
7
of
37
Part 6: Schematics
R488
USB_DT1+
27
USBP 1+
USBP 1-
R487
15K
47P
27
R480
C185
USBP 1+
USBP 1-
26
26
R479
C180
15K
47P
USB_DT1USB_DT0+
27
R495
U810
VCC3
R650
10K
14,18
SIRQ
SIRQ
14
14
14
Y1
Y2
W2
Y3
W3
V3
Y4
W4
L5
M2
M4
N1
N3
N5
P1
P2
DACK_A#
DACK_B#
DACK_D#
DACK_A#
DACK_B#
DACK_D#
T
T
14
14
14
DREQ_A
DREQ_B
DREQ_D
14
VCC3
15,27
BT_BEE P#
R513
BT_BEE P#
AEN
AEN
14
SBHE#
14
REFRES H#
13,14
IOR#
13,14
IOW#
12,14
MEMR#
12,14
MEMW#
14
SMEMR#
14
S MEMW#
14
IOCS16#
14
MEMCS16#
14
IOCHRDY
10K
DREQ_A
DREQ_B
DREQ_D
R703
10K
R704
10K
R705
10K
14
9
SBHE#
REFRES H#
IOR#
IOW#
MEMR#
MEMW#
SMEMR#
S MEMW#
IOCS16#
MEMCS16#
IOCHRDY
TC
TC
S IO_RE S
10
S IO_OS C
T
S IO_OS C
T
16
ISOB
DETACH
14
14
14
7,9
14
14
14
14
9
9
IRQ3
IRQ4
IRQ5
SD_80P
IRQ7
IRQ9
IRQ10
IRQ11
IRQ14
IRQ15
MCCS#
VCC3
R490
L3
E2
D3
M1
M5
N4
B2
H2
F2
E3
D1
C2
U4
V4
A1
B1
F3
F1
A2
F4
H1
J1
E4
H5
D12
E 12
IRQ3
IRQ4
IRQ5
SD_80P
IRQ7
IRQ9
IRQ10
IRQ11
IRQ14
IRQ15
G4
G3
G2
G1
F5
H4
K3
K4
L1
K5
ON
MCCS#
ON
13
ISOB
DETACH
L2
E1
D2
L4
M3
N2
T5
U5
10K
VCC3
F7
F10
F12
F13
F14
H6
J6
K6
M6
N6
ACK
BUS Y
PE
SLCT
ERROR
PINIT
AUTOFD
SLCTIN
STROB E
TX D1
DTR1
RTS1
CTS1
DSR1
DCD1
RI1
RXD1
S D0
S D1
S D2
S D3
S D4
S D5
S D6
S D7
S D8
S D9
SD10
SD11
SD12
SD13
SD14
SD15
DACK0
DACK1
DACK3
DACK5
DACK6
DACK7
DRQ0
DRQ1
DRQ3
DRQ5
DRQ6
DRQ7
TX D2
DTR2
RTS2
CTS2
DSR2
DCD2
RI2
RXD2
V CCUS B
GNDUS B
USBCLK
USBP 0+
USBP 0USBP 1+
USBP 1DRQ2/OC1/SERIRQ/GPIOE
DACK2/OC0/GPIOF
AEN
BALE
SBHE
REFRES H
IOR
IOW
MEMR
MEMW
SMEMR
SMEMW
IOCS 16
MEMCS 16
IOCHRDY
IOCHK/GP I0
TC
RSTDRV
OSC
BCLK
IRRX/GPO15
IRTX/GPO14
IRQ3
IRQ4
IRQ5
IRQ6/SLPB TN
IRQ7
IRQ9
IRQ10
IRQ11
IRQ14
IRQ15
XDIR/PCS 0/GPO12
XOE/GPO13
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VT82C686A
USBP 2+
USBP 2USBP 3+
USBP 3KBCK
KBDT/KBRC
MSCK/IRQ1
MSDT/IRQ12
ROMCS
DRVDE N0
DRVDE N1
INDE X
MTR0
DS1
DS0
MTR1
DIR
STE P
WDA TA
WGA TE
TRAK 00
WRTP RT
RDA TA
HDS EL
DSKCHG
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
B 13
C13
D13
E 13
A 15
C15
C16
E 15
D16
A 11
D11
B 11
C11
C12
A 12
E 11
B 12
D10
B9
E 10
A9
C10
A 10
C9
B 10
F9
F8
C3
A3
B3
C4
D4
H3
G5
A4
B4
B5
E6
E5
A5
D5
C5
T
T
T
T
T
T
T
T
47P
D7
E9
A8
B8
C8
D8
E8
A7
B7
E7
A6
B6
C7
C6
F6
F11
G6
J9
J10
J11
J12
K9
K 10
K 11
K 12
L6
L9
L10
L11
L12
M9
M10
M11
M12
P6
R6
26
26
15K
47P
USB_DT0-
VCC
T
T
T
T
T
T
T
T
T
F2
U32
OVER_C0
OVER_C1
T
T
T
T
T
T
T
T
2
4
6
8
11
13
15
17
REFRES H#
1
19
A0
A1
A2
A3
A4
A5
A6
A7
18
16
14
12
9
7
5
3
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
S D0
S D1
S D2
S D3
POLYFUS E
S MDC110
12,13,14
12,13,14
12,13,14
12,13,14
T
T
T
T
T
T
T
T
R30
OVER_C0
R31
560K
C50
1000P
OE0
OE1
AHC244
USBP 0USBP 0+
VCC3
USB_VCCA
470K
C70
L32
0(1206)
L30
L31
FCM2012V-301
FCM2012V-301
USBP 1- USB_VCCB L81
USBP 1+
L82
FCM2012V-301
FCM2012V-301
C27
10U(1206)
0.1U
CN26
USB-PLUG
5
6
7
8
1
2
3
4
C409
C408
C20 C19
47P
47P
47P 47P
V+_OUT1
DATA_L1
DATA_H1
GND
V+_OUT2
DATA_L2
DATA_H2
GND
S MDC110
USB_VCC
F4
VCC
L83
0(1206)
C425
C510
C509
POLYFUS E
0.1u
10u(1206)
OVER_C1
C406
C16
R363
10U(1206)
470K
0.1U
0.1U
USB_GND
USBCLK
USB_DT0+
USB_DT0USB_DT1+
USB_DT1DREQ_C
DACK_C#
R366
560K
C426
USBCLK
10
1000P
DREQ_C
DACK_C#
USB_DT2+
USB_DT2R173
R473
14
14
USB_DT2+
USB_DT210K
10K
R736
0(1206)
VCC3
-A20GA TE
-KBRST
IRQ1
-A20GA TE
-KBRST
IRQ1
IRQ12
C1
D9
D6
USBP 0+
USBP 0-
C194
GND
GND
GND
GND
S D0
S D1
S D2
S D3
S D4
S D5
S D6
S D7
S D8
S D9
SD10
SD11
SD12
SD13
SD14
SD15
SD[0..15]
PRD0
PRD1
PRD2
PRD3
PRD4
PRD5
PRD6
PRD7
15K
B IOSCS#
T
T
R651
T
T
T
T
T
T
T
T
T
T
T
T
T
T
R203
4.7K
*Set INIT low active.
0
KBCS#
BLUE_VCC3
13
13
13
13
C701
0.1U
C699
0.1U
C702
10U(1206)
C700
10U(1206)
12
13
VCC3
BLUE_VCC3
VDD3
R664
4.7K
WAKE_UP
DETACH
J5
7
8
9
10
11
12
WAKE_UP
DETACH
ON#
USB_DT2USB_DT2+
PCIRST_2#
ON#
PCIRST_2#
5,23
CON12B
Q56
2N7002
G
ON
1
2
3
4
5
6
R665
100K
VDD3
R690
4.7K
WA KE_UP#
WA KE_UP#
17
D
12,13,14
S A0
S A1
S A2
S A3
S A4
S A5
S A6
S A7
S A8
S A9
SA10
SA11
SA12
SA13
SA14
SA15
SA16
SA17
SA18
SA19
LA 20
LA 21
LA 22
LA 23
B 15
D15
A 14
B 14
C14
D14
E 14
A 13
R498
Q58
2N7002
G
WAKE_UP
S
T
T
T
T
W1
V2
V1
U3
U2
U1
T4
T3
T2
T1
R5
R4
R3
R2
R1
P5
P4
P3
K2
K1
J5
J4
J3
J2
27
R499
D
S A0
S A1
S A2
S A3
S A4
S A5
S A6
S A7
S A8
S A9
SA10
SA11
SA12
SA13
SA14
SA15
SA16
SA17
SA18
SA19
USBP 0+
USBP 0-
R494
C190
9
10
11
12
SA[0..19]
S
9,12,13,14
R691
100K
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Rev
2.0A
SOUTH BRIDGE VT82C686A-B
Date:
Friday, October 20, 2000
Sheet
8
of
37
6–9
Service Manual
V CC
HDD_V CC
HDD_V CC
CN33:51-52 PIN->GND
R311
10K
+ C610
C619
100U(D)
0.1U
7
0.1U
10U(1206)
2
2
2
10U1206)
0.1U
P DD0
P DD1
P DD2
P DD3
P DD4
P DD5
P DD6
P DD7
P DD8
P DD9
P DD10
P DD11
P DD12
P DD13
P DD14
P DD15
R320
10K
7
7
7
-DIOW_A
-DIOR_A
-DDACK _A
7
7
HDRDY _A
DDREQ_A
7
7
-PDCS _1
-PDCS _3
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
R639
R638
R634
33
33
33
R635
R640
33
33
R631
R633
33
33
DDP 0
DDP 1
DDP 2
DDP 3
DDP 4
DDP 5
DDP 6
DDP 7
DDP 8
DDP 9
DDP 10
DDP 11
DDP 12
DDP 13
DDP 14
DDP 15
P DREQ
P DIOW#
P DIOR#
P IORDY
P DA CK #
R315
33
P DA 1
P DA 0
CS1P #
P HD_LE D#
RN136
8
IRQ14
8P 4R-33
RN137
8P 4R-33
RN67
C684 C685 R319
P HD_LE D#
P D_80P
R531
C
HD_LED
HD_LED
27
330
4.7K
7
D28
E
CD_V CC
P DA 2
CS3P #
R529
S HD_LE D#
C
A
Q39
2N3906
C
1N4148
4.7K
R318
470(R)
S VCC
9
U47D
8
11
F04
U47E
10
13
F04
U47F
12
P IORDY
P DREQ
7
7
7
CS1P #
CS3P #
P D_A2
P D_A1
P D_A0
P D_A2
P D_A1
P D_A0
SIO_RES
R312
R314
R313
33
33
33
P DA 2
P DA 1
P DA 0
14
14
14
14
14
14
14
F04
SIO_RES
1
U47A
2
5
F04
U47C
6
3
U47B
4
R532
33
RES_DRV
14
F04
R515
1
+ C238
C228
0.1U
100U(D)
DDS 7
DDS 6
DDS 5
DDS 4
DDS 3
DDS 2
DDS 1
DDS 0
RN57 4
3
2
1
RN51 4
3
2
1
MTR0#
3MODE #
TRK 0#
HDSEL#
RDATA #
WP #
WGATE #
IDE RS T#
5 8P4R-0
6
7
8
5 8P4R-0
6
7
8
S DREQ
S DIOW#
S DIOR#
S DRDY
RN48 4
3
2
1
5 8P4R-0
6
7
8
S DA CK #
S DA 1
S DA 0
CS1S#
CD_IRQ
S HD_LE D#
RN46 4
3
2
1
5 8P4R-0
6
7
8
MTR0#
3MODE #
TRK 0#
HDSEL#
RDATA #
WP #
WGATE #
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
IDE RS T#
33
CD_GND
CD_V CC
10K
CN31
F04
10U(1206)
A
R553
2
0.1U
D29
C
1N4148
R555
CN29:61-62 PIN->GND
1
0.1U
Q42
2N3906
E
HDD_V CC
P DIOW#
P DIOR#
P DA CK #
+ C214
2
C216
DDP 8
DDP 9
DDP 10
DDP 11
DDP 12
DDP 13
DDP 14
DDP 15
5.6k
0(1206)
1
10U(1206)
C217
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
47P (R) 47P (R)
CD_V CC
+ C226
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
8P 4R-33
V CC
L53
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
IDE _HDD_50
8P 4R-33
RN66
8
2
CN34
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
R316
10K
IDE RS T#
DDP 7
DDP 6
DDP 5
DDP 4
DDP 3
DDP 2
DDP 1
DDP 0
P DD[0..15]
P DD7
R317
1K
C614
B
+ C612
C340
B
+ C341
1
1
0(1206)
1
L64
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
INDEX #
DRV 0#
DSKCHG#
DIR#
S TE P#
WDATA #
INDEX #
DRV 0#
DSKCHG#
DIR#
S TE P#
WDATA #
RN60 5
6
7
8
RN54 5
6
7
8
4 8P4R-0
3
2
1
4 8P4R-0
3
2
1
R538
R533
0
0
14
14
14
14
14
14
DDS 10
DDS 8
DDS 11
DDS 9
DDS 12
DDS 13
DDS 14
DDS 15
S D_80P
S DA 2
CS3S#
S D_80P
7,8
CD_V CC
IDE _HDD_60
R551
R554
0(R)
R547
10K
R552
10K (R)
1K
CN30
R505
10K
7
7
7
7
7
7
-DDACK _B
-DIOW_B
-DIOR_B
7
7
HDRDY _B
DDREQ_B
-SDCS _1
-SDCS _3
S D_A2
S D_A1
S D_A0
-SDCS _1
-SDCS _3
5
6
7
8
8
7
6
5
5
6
7
8
5
6
7
8
DDS 0
DDS 2
DDS 1
DDS 3
DDS 7
DDS 6
DDS 5
DDS 4
DDS 11
DDS 10
DDS 9
DDS 8
DDS 15
DDS 14
DDS 13
DDS 12
R537
R534
R530
33
33
33
R122
R527
33
33
R227
R224
33
33
RN47
8P 4R-33
RN39
R236
470
IDE _CDROM_50
S DIOW#
S DRDY
8
IRQ15
R556
33
S DA 1
S DA 0
CS1S#
S HD_LE D#
8P 4R-33
RN36
CD_IRQ
8P 4R-33
RN43
8P 4R-33
S DA CK #
S DIOW#
S DIOR#
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
R222
R216
R233
33
33
33
CD_R
21
DDS 8
DDS 9
DDS 10
DDS 11
DDS 12
DDS 13
DDS 14
DDS 15
S DREQ
S DIOR#
L61
S VCC
C278
S DA CK #
S D_80P
S DA 2
CS3S#
S D_80P
V CC
0(1206)
+C279
0.1U
7,8
+C581
C577
10U(1206)
10U(1206)
0.1U
V CC
R521
5.6K
1
2
3
4
CS1S#
CS3S#
S DA 2
S DA 1
S DA 0
CD_R
R579
R570
S DRDY
S DREQ
CN28:51-52 PIN->GND
S D_A2
S D_A1
S D_A0
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
1
4
3
2
1
1
2
3
4
4
3
2
1
4
3
2
1
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
2
S A0
S A2
S A1
S A3
S A7
S A6
S A5
S A4
SA11
SA10
S A9
S A8
SA15
SA14
SA13
SA12
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
IDE RS T#
DDS 7
DDS 6
DDS 5
DDS 4
DDS 3
DDS 2
DDS 1
DDS 0
S A[0..15]
S A7
7
7
CD_L
1
8,12,13,14
CD_L
2
21
1K
1K
8
7
6
5
3MODE #
WP #
RDATA #
TRK 0#
DSKCHG#
INDEX #
3MODE #
WP #
RDATA #
TRK 0#
DSKCHG#
INDEX #
14
14
14
14
14
14
RN62
8P4R-1K
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Rev
2.0A
IDE INTERFACE
Date:
6 – 10
Friday, October 20, 2000
Sheet
9
of
37
Part 6: Schematics
R580
© Ò ¦ ³ ¹ s¥ ó ¾ aª ñ CLOCK
GENERATOR IC
L59
V 2.5
0(0805)
C275 C270
C274
42
48
C264
C258 C246
470P
470P
L54
V CC3
0.01U
C229
470P
10U(1206)1000P
0.01U
0.01U
C252
V DDQ2
V DDQ2
CPU1
CPU_F
C262 C234
C232 C240
C231 C545
0.1U
0.1U
0.1U
1000P
1000P
1
6
14
19
27
30
36
1000P
3
9
16
22
33
39
45
20P
14X IN-14
1
C261
Y7
1M(R)
14X IN-25
2
20P
P CI0/MODE
P CI1/FS 3
P CI2
P CI3
P CI4
P CI5
V DDQ3
V DDQ3
V DDQ3
V DDQ3
V DDQ3
V DDQ3
V DDQ3
REF0/(CPU_STOP #)
REF1/FS 2
IOA PIC
24MHz/FS1
48MHz/FS0
GND
GND
GND
GND
GND
GND
GND
S DRAM0
S DRAM1
S DRAM2
S DRAM3
S DRAM4
S DRAM5
S DRAM6
S DRAM7
S DRAM8
S DRAM9
S DRAM10
S DRAM11
S DRAM12
S DRAM#13
S DRAMIN
X1
R261
14.318MHz
C260
43
44
C564
1U
CLK VCC
C227 C221
R575 0(R)
IC WORKS -W144
10U(1206) 0.1U
0(0805)
10K
CLK VCC
U29
S DA TA
S CLK
X2
R253
R256
4.7
4.7
R245
22
11
R255
R252
R240
R235
R232
R226
22
22
22
22
22
22
FS2
11
R263
R262
R271
22
22
22
FS0
FS1
11
11
R218
R214
22
22 T
R244
R239
R229
R225
R223
10
10
10
10
10
FS3
7
8
10
11
12
13
2
46
47
25
26
38
37
35
34
32
31
29
28
21
20
18
17
40
41
15
1UH
R629
¾aPCI4450
¾aESS1988
270(R)
18
P CLKP CM
P CLKP CM
R286
V CC3
¾aFDC37N869
270(R)
21 AUDIO_CLK
¾a82C694A
270(R)
AUDIO_CLK
14
869_PCLK
869_PCLK
C568 C570 C569
C565
C558 C555 C553
C549 C267
C574 C576 C543 C561 C557 C552 C548
C547
5P(R) 5P(R) 5P(R)
5P(R)
5P(R) 5P(R) 5P(R)
5P(R) 5P(R)
5P(R) 5P(R) 5P(R) 5P(R) 5P(R) 5P(R) 5P(R)
5P(R)
S DA _A TF
S CL_A TF
V CC3
R438
¾a82C694A
270(R)
3
3
7
14
21
16
18
869_CLK
S IO_OS C
A PICLK
14
8
1
USB CLK
8
MEMCLK1
MEMCLK2
MEMCLK3
MEMCLK4
DCLKWR
6
6
6
6
4
4
11
11
V CC3
R250
NPCLK
S PCLK
869_PCLK
AUDIO_CLK
LAN_CLK
P CLKP CM
DCLKO
S CL_A TF
V CC3
1
3
23
24
C88
10P (R)
V CC3
HCLKCPU
HCLK
5
NPCLK
NPCLK
3
HCLK
V CC3
V CC3
R51
R234
270(R)
270(R)
HCLK
6
MEMCLK1
R522
270(R)
MEMCLK1
6
MEMCLK3
MEMCLK3
R628
R287
R251
R451
R52
R228
R528
300(R)
300(R)
300(R)
300(R)
300(R)
300(R)
300(R)
C621
C590
C563
C489
C55
5P(R)
5P(R)
5P(R)
5P(R)
5P(R)
¾aSOCKET-DIM144
C237
C539
¾aSOCKET-DIM144
5P(R)
5P(R)
V CC3
V CC3
R546
V CC3
¾a82C686A
V CC3
R485
R147
270(R)
8
S IO_OS C
S IO_OS C
16
V CC3
¾a82C686A
270(R)
LAN_CLK
LAN_CLK
V CC3
R453
¾a82C686A
270(R)
7
S PCLK
S PCLK
¾aCPU
6
R511
270(R)
8
USB CLK
USB CLK
1
HCLKCPU
R506
270(R)
V CC3
MEMCLK2
270(R)
MEMCLK2
6
MEMCLK4
MEMCLK4
R390
R536
R507
270(R)
300(R)
300(R)
HCLKCPU
R484
R152
R448
R512
R391
300(R)
300(R)
300(R)
300(R)
300(R)
C522
C156
C477
C531
C454
5P(R)
5P(R)
5P(R)
5P(R)
5P(R)
¾aSOCKET-DIM144
C544
C528
¾aSOCKET-DIM144
5P(R)
5P(R)
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
Friday, October 20, 2000
Rev
2.0A
CLOCK
S heet
10
of
37
6 – 11
Service Manual
VCC3
VCC3
VCC
A20M#IG NNE#INT RNMI-
VCC3
1
19
R246
10K
A0
A1
A2
A3
A4
A5
A6
A7
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
18
16
14
12
9
7
5
3
14
1
V
2
4
IG NNE#
U34B
RAT IO 0
RAT IO 1
RAT IO 2
RAT IO 3
FS0
FS1
FS2
MAB12
BSEL0
1
7407
U34C
10
INT R 10
10
4
1
6
7407
VCC3
U30
N.C.
VCC
5
11
IN
3
U34E
GND
O UT
R559
R568
10K
220
220
S2
3
20
CRESET #
2
R539
10K
8P4R-10K
LV244A
1
R535
1
7407
5
3
A20M#
U34A
VCC3
O E0
O E1
RN55
1
2
3
4
7
7
7
7
2
4
6
8
11
13
15
17
8
7
6
5
U27
RAT IO 0
RAT IO 1
RAT IO 2
RAT IO 3
10
NMI
7407
FS0
FS1
FS2
MAB12
BSEL0
1
1
10
4
2
R557
R558
R669
1
2
3
4
5
6
7
8
10K
10K
0
16
15
14
13
12
11
10
9
1
2
3
4
R667
R668
RN56
8P4R-1K
8
7
6
5
1K
1K
SW SMD-PAD-16P8SW
FS3
MAB8
BSEL1
FS3
MAB8
BSEL1
R567
R549
R670
*
*
10K
10K
0
4
T C7SO 4F
R247
1K
9
R248
2K
U34D
8
1-1 6
7407
S2(8-9)
S2(7-10)
Bus Frequency
0
13
U34F
0
0
0
1
0
0
1/5
1
1
0
1
0
0
2/5
0
1
1
0
1
1
0
2/7
1
1
2/1 1
1
0
0
1
0
1
0
0
1
1/7
1
1
0
1
0
0
1
Reserved
R541
1
1
1
0
1
1
1
1
0
1
0
1
2/1 3
10K
0
2/3
1
1
1
1
1/2
1
0
100 MHz
1
1
133 MHz
0
0
0
BAT _DAT A
8
7
6
5
4
3
2
1
RN29
8P4R-1K
RN21
SMBDA
S
BAT _DAT A
27
D
VCC3
G
8P4R-10K
Q44
1
2
3
4
5
6
7
8
1/2
0
1
66 MHz
*:For Cyrix CPU,the BSEL0 and BSEL1 are input pins.
SMBDA
4-13
0
0
0
7407
2,13
3-14
0
0
0
12
VCC3
2-15
RATIO0 RATIO1 RATIO2 RATIO3
(NMI) (INTR) (A20M#)(IGNNE# )
1/3
1/4
2/9
1/6
1/8
2/1 5
R540
VCC
10K
G
VCC
2,13
C529
SELECT A
SELECT B
7
SMBDAT A
7
SMBCLK
SMBCL
S
2N7002
Q43
2N7002
D
BAT _CLK
BAT _CLK
27
U14
0.1U
7
7
SMBCL
16
1
15
14
2
SELECT A
SELECT B
S MBD AT A
7
SMBC LK
9
C659
C660
68P
68P
8
VCC
EA#
EB#
S0
S1
10A
11A
12A
13A
YA
10B
11B
12B
13B
YB
6
5
4
3
10
11
12
13
SDA_RA
SD A_R B
SDA_RA
SDA_RB
6
6
S DA_A T F
SDA_AT F
10
SCL_R A
SCL_R B
SCL_RA
SCL_RB
6
6
SCL_A T F
SCL_AT F
10
GND
QS3253
KBV3
R270
ACIN#
100K
ACIN#
7,13
C
R243
Z43
A++
B
Q20
E
2N3904
10K
R242
1K
KAPOK
³Í³Õ¹q¸£
Size
Docum ent Num ber
Custom
Date:
6 – 12
Rev
0
FREQ/RATIO
Friday, O ctober 20, 2000
Sheet
11
of
37
Part 6: Schematics
V CC
Z372
L84
0(1206)
V CC
D23
1S S 355
C 412
V CC3
Q1 P IN C 1 ->
FA N _V O
C
R22
40MIL
CN29
1U(0805)
1
Z630
1
A
6.8K
B
R43
R73
34.8K (1% )
R 358
FA N_P W M
R74
R
R58
CP U_FA N
1K
R75
0
3
V MIN
E
C
C66
C65
0.01U
0.01U
2
CF
R
4
7,13
Q27
B
2N 3906
R72
CP U_FA N
V IN
G ND
FA N_P W M
1
V DD
8
R
13
27
S US PE ND
S US PE ND
D
Q28
S
2N 7002
G
FA N_S E N
3
2
3
FA N_C ON
S A [0..16]
FA ULT
FA U LT#
FA U LT#
S A [0..16]
S E N SE
R27
0
R28
TC 642
(S O8)
10K
SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
S A 10
S A 11
S A 12
S A 13
S A 14
S A 15
S A 16
V CC
C25
0.01U(K % )
A
D6
1S S 355
C
R44
V CC
C23
0.22U(0805)(K % )
R 241
12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A 10
A 11
A 12
A 13
A 14
A 15
A 16
10K
8
8,14
V CC3
V CC
S D [0..7]
U28
5
15K _1%
V CC3
8,9,13,14
7,15
7
VO
R
100K
2
40MIL
6
0
R57
FA N _V O
820
U3
R 357
R59
C
Q25
E
2S C 4672
V CC3
B IOS CS #
ME MR#
B IOS CS #
ME MR#
22
24
1
31
C 241
O0
O1
O2
O3
O4
O5
O6
O7
V CC
CE
OE
V PP
P GM
A 17
G ND
13
14
15
17
18
19
20
21
8,13,14
S D0
S D1
S D2
S D3
S D4
S D5
S D6
S D7
32
V CC
30
S A 17
S A 17
8,14
16
29F020
R 231
0.1U
R 607
R 308
1M
100K
0(R )
R 309
U42
GC L_V G A TE
1,29 GC L_V G A TE
D
D
Q23
S
2N 7002
G
G
Q22
2N 7002
240K
1
S
2
3
R 612
100K
4
C 604
C 603
0.01U
0.1U
MR#
RE S ET
V CC
RE S ET
G ND
N.C .
P F1
P F0
8,14
8
V CC3
6
U25
5
MA X 708S
2.2M
R 307
S A 18
S A 18
7
P WR OK
8,14
ME MW#
7
FLA S H#
ME MW#
1
4
W B IOS #
2
FLA S H#
TC 7S 32F
1,3,7
V CC3
R 230
10K
D
2N 7002
S
Q24
G
V DD3
Q
R 606
Q
P WR OK
R
13
V DD3
V DD3
R 589
V DD3
R 587
R 596
R 595
1M
U48A
R 259
4.7K
S
U823A
74HC14
10K
6
2
14
1
14
3
6
14
5
G
10K
P W RS W #
7 P W RS W #
Q53
2N 7002
D
S
5
10K
3
U823B
74HC14
C 595
7
D
V DD
CLK
Q
G ND
Q
14
0.1U
C 584
D31
V DD3
1
2
K B _ON#
R
4
C 585
4
MC14013
A
1S S 355
K B _ON#
13
P WR _ON
P WR _ON
7,13,28,29,31
V DD3
0.1U(R )
1
0.1U
U823C
74HC14
C
C 571
0.1U(R )
C 594
1U(0805)
2
E
B+
Q57
2N 3906
C
7,31 S H UTD OW N
P W RS W
P W RS W
31
R 671
10K
2
V DD3
R 672
R 673
10K
V DD3
V DD3
7
P W RS W #
P W RS W #
10
14
11
C
1S S 355
R 674
10K
A
10K (R )
D
OS #
G
Q21
2N 7002
S
A
C
TU R N_ON#
27
9
C 662
1S S 355
0.1U
10K
D38
R 675 100
470K
C 661
1U
C
F01J2E
R 685
14
13
R 588
S H UTD OW N
560K
D18
D36
1S S 355
C
U 823F
74HC14
12
R 290
A
8
A
D37
10K (R )
V DD3
U48B
S
U823E
74HC14
R 282
B
V CC3
11
D
CLK
Q
Q
13
12
R
U823D
74HC14
10
8
14
9
KAPOK
MC14013
³Í³Õ ¹q ¸£
S ize
D ocument Number
Custom
D ate:
Rev
0
BIOS&FAN
Friday, Oc tober 20, 2000
S heet
12
of
37
6 – 13
Service Manual
CN14
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
COL8
COL7
COL6
COL5
COL4
COL3
COL2
COL1
ROW1
ROW2
L71
1
VCC
0(1206)
2
C691
0.1U
K B VCC C349
0.1U
D19
CN18
A
C
A
1S S355
D20
C
A
1S S355
D21
C
ROW 4
ROW6
V DD3
ROW7
ROW5
ROW9
ROW10
ROW11
ROW8
ROW12
ROW3
ROW16
ROW13
ROW14
ROW15
26
26
E MCLK
E MDA
26
26
E KDA
E K CLK
EM C L K
EM D A
L67
L68
1
1
2 FCM2012V -301
2 FCM2012V -301
EKD A
EKC L K
L69
L70
1
1
2 FCM2012V -301
2 FCM2012V -301
4
6
2
9
7
1
5
3
8
MINI-DIN-MUS
1S S355
S
G
K B_ON#
K B_ON#
R590
0 ( 1 2 0 6)
D
C353
C352
C351
C350
47P
47P
47P
47P
12
Q50
NDS 352A P (R)
+12V
HE ADE R 26
C560
0.1U(R)
R562
47K (R)
U49
K B V3
K B V3
1
COL1
COL2
COL3
COL4
COL5
COL6
COL7
COL8
C579
C575
4.7U(1206)
0.1U
71
RN63
8P 4R-10K
K B V3
R571
100K
2N7002
VCC
27,31
R577
G
Q49
S
B A TLOW#
ROW 8
ROW 7
ROW 6
ROW 5
ROW 4
ROW 3
ROW 2
ROW 1
47
48
49
50
51
52
53
54
COL8
COL7
COL6
COL5
COL4
COL3
COL2
COL1
55
56
57
58
59
60
61
62
100K
A CIN#
R706
R707
D
7,12,28,29,31
R576
510(0805)
R274
K B V3
7,11
39
40
41
42
43
44
45
46
1K (0805)(R)
27
21
P WR_ON
P WR_ON
LID
BID0
V OLU P#
25
V 2.5
ROW 16
ROW 15
ROW 14
ROW 13
ROW 12
ROW 11
ROW 10
ROW 9
BID0
V OLU P#
74
100K 75
100K 76
77
78
79
80
1
2
KB V REF
25
12
C573
7
1
S CI
0.1U
R561
3
72
B RIGA DJ
FA N_P WM
D30
A S 2431
10K
K B V3
27
7,12
21
VOLTAGE:2.5V
17
15
P ME#
RING#
B RIGA DJ
FA N_P WM
S CI
KBR ST
A2 0 G AT E
K B RST
A 20GA TE
B E E P_E N#
CP U_FA N
V OLDN#
R686
R687
B E E P_E N#
CP U_FA N
R7091 00 K
V OLDN#
0
0
10
11
19
21
20
35
36
37
38
12
13
25
K B V3
K B V3
R560
100K
CNVS S
R258
24
30
73
G
VCC
P 17
P 16
P 15
P 14
P 13
P 12
P 11
P 10
P O7
P O6
P O5
P O4
P O3
P O2
P O1
P O0
P 37
P 36
P 35
P 34
P 33
P 32
P 31
P 30
P 67
P 66
P 65
P 64
P 63
P 62
P 61
P 60
V REF
P 57
P 56
P 46
P 44
P 45
P 23
P 22
P 21
P 20
P 55
P 54
P 27
P 26
P 25
P 24
S
Q47
D NDS 0610(R)
C
B
R565
Q48
DTD114E K (R)
E
1K (R)
C N VSS
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0
P53/W R#
P 52/RD#
P 51/CS#
P50/A0
63
64
65
66
67
68
69
70
14
15
16
17
SD 7
SD 6
SD 5
SD 4
SD 3
SD 2
SD 1
SD 0
S D7
S D6
S D5
S D4
S D3
S D2
S D1
S D0
8,12,14
8,12,14
8,12,14
8,12,14
8,12,14
8,12,14
8,12,14
8,12,14
IO W #
IO R #
IOW#
IOR#
8,14
8,14
S A2
8,9,12,14
K BDCS #-1
SA2
R652
R653
P42/IRQ1
P 43/IRQ12
23
22
10K
10K
IR Q 1
IR Q 1 2
R564
22K (R)
R542
P76/SDA
P 77/S CL
P 74/E MCLK
P 71/E MDA
P 75/E K CLK
P 72/E KDA
P 73/IMCLK
P 70/IMDA
P 40
P 41
X IN
18
3
2
S
5
8
EM C L K
EM D A
4
7
EKC L K
EKD A
X OUT
8
8
8
100K
D
S
K B V3
MCCS#
MCCS#
8
G Q46
2N7002
2,11
2,11
VCC
VCC
CN12
1
2
3
4
IM D AT A
IM C L K
6
9
EX T SM I
27
26
R573
28
K B CS#
VCC
MCCS #-1
S MBDA
S MB CL
K B V3
K B CS#
G Q45
2N7002
V CC3
IRQ1
IRQ12
S MBDA
S MB CL
R563
4.7K (R)
100K
D
R544
P 47/CS (A CPI)
RES E T#
CNVS S
VS S
A VS S
31
32
33
34
E X TS MI
WAK E
K B V3
WAK E
4.7K
7
7
C271
C272
C268
30P
30P
4.7U(1206)
MOU SE _PA D
C273
0.1U
X IN
1
KBV 3
2
4
3
2
1
4
3
2
1
RN64
8P 4R-10K
5
6
7
8
5
6
7
8
Y9
29
8MHZ
0
100K
D16
Q
Q
C
A
1S S355
1
12
C249
2
10U(1206)
-K B RST
VCC
E MDA
E K CLK
1
2
3
4
4
3
2
1
RN61
8P 4R-10K
8
7
6
5
5
6
7
8
D39
A
8
C 1S S355
KBR ST
C 1S S355
A 20GA TE
C559
0.1U(R)
M38867M8(1.44)
2
R569
X OUT
C256
C257
22P
22P
CN35
CN36
1
4
3
2
1
L62
1
2 0(0803)
L63
1
2 0(0803)
2
3
1
2
3
FAN_CON
MODE M_4
A
D40
E MCLK
IMCLK
E KDA
IMDA TA
-A 20GA TE
8
RN124
8P 4R-10K
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Rev
0
KEYBOATD CONTROL
Date:
6 – 14
Friday, October 20, 2000
S heet
13
of
37
Part 6: Schematics
VCC3
C201
68p
C203
68p
C532
68p
C200
68p
C225
C556
68p
C204
68p
C205
68p
C202
68p
TC
IRQ9
IRQ10
8,12,13
S D[0..7]
IRQ11
10
869_PCLK
8
8
8,13
8,13
9
8
8,18
869_PCLK
C562
8
8
8
8
15,26
15,26
15,26
15,26
15,26
DREQ_A
DREQ_B
DREQ_C
DREQ_D
DACK _A #
DACK _B #
DACK _C#
DACK _D#
P S LCT
P PE
PBUSY
P ACK #
P P ERR#
A EN
IOR#
IOW#
RE S _DRV
IOCHRDY
S IRQ
33
44
42
43
55
98
37
38
DREQ_A
DREQ_B
DREQ_C
DREQ_D
19
50
97
17
DACK _A #
DACK _B #
DACK _C#
DACK _D#
20
34
94
22
P S LCT
P PE
PBUSY
P ACK #
P S LIN#-1
PINIT#-1
P P ERR#
P ATFD#-1
PSTB #-1
57
58
59
60
71
72
73
74
75
V CC
V CC
DS0#
MTR0#
DIR#
S TE P #
TRK0#
RDA TA #
W DA TA #
W GA TE #
HDS EL#
INDE X #
DS K CHG#
W RTPRT#
DRV DE N0
DRV DE N1
D0
D1
D2
D3
D4
D5
D6
D7
RI1#
DCD1#
DS R1#
DTR1#
RTS1#
CTS1#
RX D1
TX D1
TC
A EN
IOR#
IOW#
RE S ET_DRV
IOCHRDY
S IRQ
CLK33
RI2#
DCD2#
DS R2#
DTR2#
RTS2#
CTS2#
RX D2/IRRX
TX D2/IRTX
DRQ_A
DRQ_B
DRQ_C
DRQ_D
DACK _A #
DACK _B #
DACK _C#
DACK _D#
SLCT /WGATE #
P E /WRDA TA #
B USY /MTR1#
A CK # /DS1#
S LCTIN# /S TE P #
INIT# /DIR#
ERROR# /HDS EL#
AUTOFD# /DENS EL#
S TROBE# /DS0#
CLK14
IRRX 2
IRTX 2
IRQIN
IRMODE /IRR3
P WRGD/GA MECS #
ADRX # /CLK RUN#
V SS
V SS
V SS
V SS
13
70
1
46
47
48
49
51
52
53
54
TC
TC
A EN
IOR#
IOW#
RE S _DRV
IOCHRDY
S IRQ
5P (R)
8
8
8
8
S D0
S D1
S D2
S D3
S D4
S D5
S D6
S D7
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
PD0 /INDE X #
P D1 /TRK0#
PD2 /W RTPRT#
P D3 /RDA TA #
PD4 /DS K CHG#
P D5
P D6 /MTR0#
P D7
IRQ5
26
27
28
29
30
31
32
39
40
41
95
35
36
1
3
25
2
100
5
6
11
14
7
8
9
10
15
12
99
16
DRV0#
MTR0#
DIR#
S TE P #
TRK0#
RDA TA #
W DA TA #
W GA TE #
HDS EL#
INDE X #
DS K CHG#
W P#
3MODE #
82
83
78
81
79
80
76
77
RI1#
DCD1#
DS R1#
DTR1#
RTS1#
CTS1#
S IN1
S OUT1
84
85
88
91
89
90
86
87
RI2#
DCD2#
DS R2#
DTR2#
RTS2#
CTS2#
RX D2
S OUT2
C233
0.1U
1
0.1U
IRQ4
S A0
S A1
S A2
S A3
S A4
S A5
S A6
S A7
S A8
S A9
S A10
S A11
S A12
S A13
S A14
S A15
2
2
U24
FDC37N869(TQFP )
SA[0..15]
C42
4.7U(1206)
1
8,9,12,13
IRQ3
2
IRQ7
DRV0#
MTR0#
DIR#
S TE P #
TRK0#
RDA TA #
W DA TA #
W GA TE #
HDS EL#
INDE X #
DS K CHG#
W P#
3MODE #
9
9
9
9
9
9
9
9
9
9
9
9
9
RI1#
DCD1#
DS R1#
DTR1#
RTS1#
CTS1#
S IN1
S OUT1
15
15
15
15
15
15
15
15
RI2#
DCD2#
DS R2#
DTR2#
26
26
26
26
CTS2#
S IN2
S OUT2
26
26
26
8,9,12
8,9,12
8,9,12,13
8,9,12
8,9,12
8,9,12
8,9,12
8,9,12
4
3
2
1
8
7
6
5
S A0
S A1
S A2
S A3
S A4
S A5
S A6
S A7
RN59
8P 4R-4.7K
5
6
7
8
1
2
3
4
VCC3
8,9,12
8,9,12
8,9,12
8,9,12
8,9,12
8,9,12
8,9,12
8,9,12
RN122
8P 4R-4.7K
1
2
3
4
1
2
3
4
8
7
6
5
8
7
6
5
S A8
S A9
S A10
S A11
S A12
S A13
S A14
S A15
RN58
8P 4R-4.7K
VCC3
RN123
8P 4R-4.7K
T
18
23
24
96
21
56
92
VCC3
P D-0
P D-1
P D-2
P D-3
P D-4
P D-5
P D-6
P D-7
R678
1K (R)
RTS2#
FIR/S IR#
P G#
CLK RUN#
P SL IN # - 1 4
3
PIN IT# -1
P AT FD # -1 2
1
PST B# -1
869_CLK
IRRX
IRTX
15
15
FIR/S IR#
15
CLK RUN#
7,16,18,21,23
T
8
7
6
5
8
7
6
5
RN49
8P 4R-33
RN52
8P 4R-33
P D0
P D1
P D2
P D3
P D4
P D5
P D6
P D7
P D0
P D1
P D2
P D3
P D4
P D5
P D6
P D7
15,26
15,26
15,26
15,26
15,26
15,26
15,26
15,26
P SLIN#
P INIT#
PATFD#
P S TB #
15,26
15,26
15,26
15,26
26
R679
820
869_CLK
IRRX
IRTX
1
2
3
4
1
2
3
4
10
RN45
P SL IN #
PIN IT#
P AT F D #
PST B#
5
6
7
8
8P 4R-33
R654
R
V CC
C636
C
4
45
65
93
R215
2K
W GA TE #
69
68
67
66
64
63
62
61
9
P D-0
P D-1
P D-2
P D-3
P D-4
P D-5
P D-6
P D-7
V CC
R209
2K
9
W DA TA #
VCC3
VCC3
8
RE FRESH#
8
IOCS 16#
8
ME MCS 16#
RE FRESH#
R283
4.7K
IOCS 16#
R204
4.7K
ME MCS 16#
R205
4.7K
VCC3
VCC3
8
8
8
8
8
8
8
8
8
8
8
S BHE #
S ME MW #
S MEMR#
S D8
S D9
S D10
S D11
S D15
S D14
S D12
S D13
S BHE #
S ME MW #
S MEMR#
S D8
S D9
S D10
S D11
S D15
S D14
S D12
S D13
RN37
8P 4R-4.7K
5
4
6
3
7
2
8
1
8
7
6
5
1
2
3
4
RN40
8P 4R-4.7K
1
2
3
4
8
7
6
5
8,12
8,12
8,12
8
S A16
S A17
S A18
S A19
S A16
8
S A17
7
S A18
6
S A19
5
DACK _A #
8
DACK _B #
7
DACK _C#
6
DACK _D#
5
RN44
8P4R-10K
S D0
S D1
S D2
S D3
S D4
S D5
S D6
S D7
8
7
6
5
4
3
2
1
RN119
8P 4R-4.7K
1
2
3
4
1
2
3
4
RN53
8P 4R-4.7K
1
2
3
4
5
6
7
8
8,12
8,12
MEMR#
ME MW#
MEMR#
ME MW#
IOR#
IOW#
1
2
3
4
RN38
8P 4R-4.7K
8
7
6
5
V CC
DRV0#
P G#
VCC3
8
8
8
8
8
8
8
IRQ3
IRQ9
IRQ10
IRQ7
IRQ4
IRQ5
IRQ11
IOCHRDY
IRQ3
IRQ9
IRQ10
IRQ7
IRQ4
IRQ5
IRQ11
RN118
8P 4R-4.7K
5
4
6
3
7
2
8
1
5
4
6
3
7
2
8
1
DREQ_A
DREQ_B
DREQ_C
DREQ_D
FIR/S IR#
R207
1
2
3
4
4.7K
8
7
6
5
CTS2#
DS R2#
RX D2
DCD2#
RI2#
1
2
3
4
1
2
3
4
RN121
8P4R-10K
8
7
6
5
8
7
6
5
RN42
8P4R-10K
RN120
8P 4R-4.7K
RN117
8P 4R-4.7K
RN50
8P 4R-4.7K
RN41
8P 4R-4.7K
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
Friday, October 20, 2000
Rev
0
SUPER I/O
S heet
14
of
37
6 – 15
Service Manual
R381
0(0805)(R) K BV 3
R380
0(0805)
U7
C1+
28
C1+
C98
DSR1#
RI1#
CTS 1#
S IN1
DCD1#
V CC
2
14
13
12
COM1RI
DSR1#
RI1#
CTS 1#
S IN1
DCD1#
20
19
18
17
16
15
100K
C444
0.1U
0.1U(K% )
C90
3
SO U T A
RTS A
S INA
V-
D SR A
DCDA
0.1U(K% )
S OUT1
RTS 1#
DTR1#
R79
12
13
C2T1IN
T2IN
T3IN
T1OUT
T2OUT
T3OUT
R2OUTB
R1OUT
R2OUT
R3OUT
R4OUT
R5OUT
R1IN
R2IN
R3IN
R4IN
R5IN
FORCE ON
FORCE OFF
9
10
11
COMP3
COMP7
COMP4
4
5
6
7
8
COMP6
COMP9
COMP8
COMP2
COMP1
C O M P4
C O M P7
C O M P3
C O M P1
C O M P2
C O M P8
C O M P9
C O M P6
4
3
2
1
4
3
2
1
RN2
8P 4R-22
5
6
7
8
5
6
7
8
D TR A
R T SA
SO U T A
DC DA
SIN A
C T SA
R IA
D SR A
DTRA
RTS A
S OUTA
DCDA
S INA
CTS A
RIA
DSRA
26
26
26
26
26
26
26
26
C357
C358
C359
C360
C361
C362
C363
C364
180P
180P
180P
180P
180P
180P
180P
180P
RN1
8P 4R-22
21
INV A LID
23
22
Z46 1
5
9
4
8
3
7
2
6
1
RIA
DTRA
CTS A
V+
C1C2+
V-
0.1U(K% )
C2-
14
14
14
14
14
27
V+
24
1
C100
S OUT1
RTS 1#
DTR1#
CN20
V CC
C102
0.1U(K% )
C1C2+
14
14
14
26
V CC
R53
25
GND
V CC3
2.2 (1206)
14
IRTX
14
IRRX
9
LEDA
R45
4.7K
K BV 3
U2
HSDL-3600
10
MAX 3243
TXD
4
MD0
A
10K
COM2RI
A
3
FIR_SE L
RING#
F01J2E
RING#
V CC
13
5
MD1
C
A GND
COM1RI
RXD
GND
Q29
2N7002
D26
26
COM2RI
C
G
F01J2E
C17
FIR/S IR#
14 FIR/S IR#
1
14,26
14,26
14,26
14,26
14,26
14,26
14,26
14,26
D25
P SLCT
P PE
P BUSY
P ACK#
P D1
P D0
P ATFD#
P STB#
R36
47K
NC
1
2
3
4
1
2
3
4
RN6
8P4R-2K
RN5
8P4R-2K
8
7
6
5
8
7
6
5
P SLCT
P PE
P BUSY
P ACK#
P D1
P D0
P ATFD#
P STB#
R382
2
RN3
8P4R-2K
8
7
6
5
8
7
6
5
14,26
7
1
2
3
4
1
2
3
4
1SS 355
P PE RR#
8
6
1K P PE RR#
D
R20
S
V CC
C
V CC
D2
A
CONNECTOR DB9
R377
0.47U
10K
P D3
P SLIN#
P D2
P INIT#
P D7
P D6
P D5
P D4
P D3
P SLIN#
P D2
P INIT#
P D7
P D6
P D5
P D4
14,26
14,26
14,26
14,26
14,26
14,26
14,26
14,26
C21
18
P C-RING#
P C-RING#
D
S
10U(1206)
V CC
G
R383
U20A
74HC14
Q30
2N7002
10K
RN4
8P4R-2K
14
1
V CC
V CC3
CO M
2
U20B
74HC14
V CC3
14
3
4
R200
100K
E
Q17
2N3904
B
10K D ISBL
R219
DIS B L
C
7
C211
0 .0 1 U
4
3
2
1
4
3
2
1
5 8P4R-0
6
7
8
5 8P4R-0
6
7
8
29
5
6
7
8
4
3
2
1
RC1
RC2
8P 4R-180P
8P 4R-180P
RC3
8P 4R-180P
RC4
P ACK#
P BUSY
P PE
P SLCT
P STB#
P ATFD#
P D0
P D1
P D3
P ACK#
P BUSY
P PE
P SLCT
P STB#
P ATFD#
P D0
P D1
PD 3
RN147
RN148
L114
4
3
2
1
4
3
2
1
5
6
7
8
8P 4R-180P
5
6
7
8
14,26
14,26
14,26
14,26
14,26
14,26
14,26
14,26
14,26
V CC
CN22
1
14
2
15
3
16
4
17
5
18
6
19
7
20
8
21
9
22
10
23
11
24
12
25
13
5 8P4R-0
6
7
8
5 8P4R-0
6
7
8
0(0803)
R193
V CC
U20C
74HC14
14
5
6
V CC
1K
BAT _ BEEP
B AT_BE EP
25,27
R220
V CC
U20D
74HC14
14
9
Q19
2N3906
10K
C
C
8
D
S
R201
B
4.7K B
E
U20E
74HC1414
11
Q18
2N3906
G Q16
2N7002
D ISBL
8 ,2 7 BT _ BEEP#
BT _ BEEP#
Q13
B
DIS B L
C170
0.47U
18
CONNE CTOR DB 25
R191
4.7K
P C_BE EP
P C_BE EP
21
7
0(R)
Q14
DTD114EK
C
E
2N3904
7
7,12
R190
10K
28
12
C
E
FAULT#
U20F
74HC14
1M
14
13
R180
F AU L T #
V CC
10
R192
2 .2 K
R221
E
S PE AK
P CMSP K
S PE AK
P CMSP K
C198
A
D12
0.1U
C
R178
R179
10K
100
V CC
B
P SLIN#
P D4
RN146
P D5
P D6
P D7
4
3
2
1
PD 2
5
6
7
8
P PE RR#RN145
P INIT#
4
3
2
1
P PE RR#
P INIT#
P D2
P SLIN#
P D4
P D5
P D6
P D7
4
3
2
1
14,26
14,26
14,26
14,26
14,26
14,26
14,26
14,26
R197
1K
1SS 355
C380
180P
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
6 – 16
Rev
1.2
I/O CONNECT
Friday, October 20, 2000
S heet
15
of
37
Part 6: Schematics
LA NVDD3
L90
0
LA NVDD3
C134
C136
0.1U
0.1U
L91
0
L92
0
C137
CLKOUT
C135
R412
R112
C461
33P
50
50
33P
0.1U(R)
L46
Y6
25MHz
CRY S TA L
17
RX IN+
Digi tal GND
TX D+
R113
RX IN1.7K
RX IN+
VCC3
LA NVDD3
8
R111
1K
IS OB
LW A KE
R655
R135
IS OB
CLKOUT
X TALF
LE D2
LE D1
LE D0
LED(R)
LE D2
R130
VCC3
T
15K
LE D3
510
PME_LA N#
CLK RUN#
PME_LA N#
17
CLK RUN#
510
LED(R)
LE D1
R127
C533
C587
4.7U(1206)
0.1U
1
RX IN-
GND
C337
C206
10U(1206)
0.1U
7,14,18,21,23
R680
2
TX D+
17
Anal og
C463
27P
1
17
C462
27P
TXD-
TXD-
2
17
0(0805)
X TALF
100
102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
510
MD5
MD6
MD7
LE D0
LE D1
LE D2
V DD
IS OLATEB
NC
GND
TX D+
TXDV DD
W EB
OEB
RX IN+
RX INGND
RTS E T
LW A KE
RTT2
RTT3
GND
X1
X2
V DD
P MEB
CLKRUNB
GND
NC
NC
NC
MA16
MA15
MA14
MA13
MA12
MA11
LED(R)
INTRA #
INTRA # R141
0(R)
C142
0.1U
INTRC#
INTRC#
3,7,18,23 P CIRST#
10
LAN_CLK
3
GNT#2
3
RE Q#2
R136
P CIRST#
LAN_CLK
GNT#2
RE Q#2
0
R148
VDD3
0
A D31
A D30
A D29
A D28
A D27
A D26
A D25
A D24
RTL8139C
R125
5.6K
LA NVDD3
LA NVDD3
C143
0.1U
LA NVDD3
U9
E E CS
E E SK
E EDI
E E DO
VDD3
A D0
A D1
A D2
A D3
A D4
1
2
3
4
CS
SK
DI
DO
V CC
NC
NC
GND
8
7
6
5
C132
0.1U
93C46
VDD3
LA NVDD3
R656
0(1206)
A D5
C158
C436
4.7U(1206)
0.1U
2
1
V DD
CBE 3B
IDS E L
A D23
A D22
A D21
GND
A D20
A D19
A D18
A D17
V DD
A D16
CBE 2B
FRA MEB
IRDYB
TRDYB
GND
DE V SE LB
S TOPB
PERRB
SERRB
P AR
CBE 1B
V DD
A D15
A D14
A D13
A D12
GND
A D11
A D10
A D9
A D8
V DD
CBE 0B
A D7
A D6
7,18
64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
C133
C259
10U(1206)
0.1U
C164
C165
0.1U
22U(1210)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
7,18,21
MA10
MA 9
GND
MA 8
MA 7
V DD
V DD
MA 6/9356S E L
GND
GND
NC
MA 5
MA 4
MA 3
E E CS
MA 2
MA 1
MA 0
V DD
A D0
A D1
A D2
A D3
A D4
GND
A D5
1
LA NVDD3
MD4
MD3
MD2
V DD
MD1
MD0
V DD
ROMCSB
GND
GND
GND
INTAB
RS TB
CLK
GNTB
RE QB
V DD
A D31
A D30
A D29
A D28
GND
A D27
A D26
A D25
A D24
2
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
U12
RTL8139C
LA NVDD3
3,7,18,21
A D22
R163
100
IDS E L
3,5,7,18,21
C-B E#3
3,5,7,18,21
3,5,7,18,21
3,5,7,18,21
3,5,7,18,21
C-B E#2
FRAME #
IRDY #
TRDY #
C-B E#3
C-B E#0
C-B E#1
P AR
S ERR#
P ERR#
S TOP#
DEV S EL#
C-B E#2
FRAME #
IRDY #
TRDY #
C-B E#0
C-B E#1
P AR
S ERR#
P ERR#
S TOP#
DEV S EL#
3,5,7,18,21
3,5,7,18,21
3,7,18,21
3,7,18
3,18
3,7,18,21
3,7,18,21
A D6
A D7
A D8
A D9
A D10
A D11
A D12
A D13
A D14
A D15
A D16
A D17
A D18
A D19
A D20
A D21
A D22
A D23
AD[0..31]
AD[0..31]
3,5,7,18,21
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Rev
0
LAN-RTL8193C
Date:
Friday, October 20, 2000
S heet
16
of
37
6 – 17
Service Manual
KBV3
R182
10K
D34
16
PME_LAN#
PME_LAN#
C
A
PME#
PME#
13
1SS355
D35
18
PME_CB#
PME_CB#
C
A
1SS355
D43
8
WAKE_UP#
WAKE_UP#
C
A
1SS355
C31
0.1U
16
16
RXIN+
RXIN-
R33
R34
50
50
U4
RXIN+
1
RXIN-
2
3
16
TXD-
TXD-
15
14
16
TXD+
TXD+
16
RD+
CN19
RX+
RD-
CT
CT
RX-
TD-
TX-
CT
CMT
TD+
TX+
7
L101
L42
choke
0(0803)
L44
L102
5
1
FCM2012C-121
choke
2
3
6
L33
L103
0(0803)
FCM2012C-121
4
11
5
12
6
10
L45
L104
FCM2012C-121
FCM2012C-121
7
PE68515
C703
C(R)
R737
R(R)
C704
C(R)
R738
R(R)
VDD3
8
TX+
TXRX+
N/C
N/C
RXN/C
GND
N/C
GND
10
9
RJ-45
R84
75
R32
75
R335
75
R336
75
C30
0.1U
C96
0.1U
C101
0.01U/3KV
C692
0.1U
KAPOK
³Í³Õ¹q¸£
Size
Document Number
Custom
Rev
0
RJ-45
Date:
6 – 18
Friday, October 20, 2000
Sheet
17
of
37
Part 6: Schematics
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
V CC P
V C CC B
V C CC A
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
VP
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
P
O
O
O
O
O
O
O
O
O
O
O
O
O
O
O
O
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
RT
Z V P P O R T [1 5 .. 0 ]
Z V P P O R T [ 1250. . 0 ]
Z V _H R E F 23
Z V _ V S Y N C2 3
Z V _ P IX C L K
20,23
Y
Y
Y
Y
Y
Y
Y
Y
_D
_D
_D
_D
_D
_D
_D
_D
A
A
A
A
A
A
A
A
T
T
T
T
T
T
T
T
0
1
2
3
4
5
6
7
F
F
F
F
F
F
U
U
U
U
U
U
N
N
N
N
N
N
C
C
C
C
C
C
2
100
W 10
1P 10
2 P 9
3 R 9
4 T 9
5 W 5
6 R 5
N 19
S IRQ
W 4
Z V _S D A T A
V 3
Z V _LR C L K
W 3
Z V _M CL K
V 2
Z V _S C L K
N 4
Z V _Y 0
N 5
Z V _Y 1
N 6
Z V _Y 2
P 2
Z V _Y 3
P 4
Z V _Y 4
P 5
Z V _Y 5
P 6
Z V _Y 6
R 1
Z V _Y 7
R 2
Z V _U V 0
T 1
Z V _U V 1
R 4
Z V _U V 2
U 1
Z V _U V 3
T 2
Z V _U V 4
V 1
Z V _U V 5
U 2
Z V _U V 6
W 2
Z V _U V 7
N 1
Z V _H R E F
N 2
Z V _V S Y N C
V 4
Z V _P C L K
A 11
3.3
D 14
3.3
E 1
3.3
E 6
3.3
E 19
3.3
J14
3.3
P 1
3.3
P 15
3.3
T 5
3.3
V 10
3.3
V 13
3.3
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
N 18
V CC P
W 13
V CC P
SOCKET A
J19
B _ C C D 1 #D 1 0
B _ C C D 2 #B 1 1
B _ C V S 1A 1 4
B _C V S 2
M F U NC 0
M F U NC 1
M F U NC 2
M F U NC 3
M F U NC 4
M F U NC 5
M F U NC 6
ID S E L/ M F U N C 7
PCI
J18
B _ C A D 0J 1 5
B _ C A D 1J 1 6
B _ C A D 2H 1 9
B _ C A D 3H 1 4
B _ C A D 4H 1 6
B _ C A D 5H 1 8
B _ C A D 6G 1 3
B _ C A D 7G 1 8
B _ C A D 8G 1 5
B _ C A D 9G 1 4
B _ C A D 1 0F 1 9
B _ C A D 1 1F 1 5
B _ C A D 1 2F 1 6
B _ C A D 1 3E 1 8
B _ C A D 1 4F 1 4
B _ C A D 1 5E 1 6
B _ C A D 1 6A 1 5
B _ C A D 1 7B 1 5
B _ C A D 1 8E 1 4
B _ C A D 1 9B 1 4
B _ C A D 2 0E 1 3
B _ C A D 2 1B 1 3
B _ C A D 2 2D 1 3
B _ C A D 2 3D 1 2
B _ C A D 2 4E 1 2
B _ C A D 2 5D 1 1
B _ C A D 2 6E 1 0
B _ C A D 2 7F 9
B _ C A D 2 8A 9
B _ C A D 2 9B 9
B _ C A D 3 0E 9
B _C A D 31
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
C
G 16
B _ C C / B E 0D# 1 9
B _ C C / B E 1E# 1 5
B _ C C / B E 2A# 1 2
B _C C /B E 3#
B C
B C
B C
B C
A 17
B _ C C L KD 1 5
B _CF R A M E
A #1 8
B _ C D E V S E BL #
16
B _C IR D Y #
B 17
B _ C T R D Y B# 1 9
B _ S T O PA# 1 3
B _CR E Q B
# 18
B _ C G N T F# 1 1
B _ C S E R R C# 1 8
B _ C P E R R D# 1 6
B _ C B L O C KC #1 9
B _ C P A RF 1 3
B _CR S TB
# 10
B _ C L K R U NE# 1 1
B _CI NT #
A 10
B _ C S T S C HFG1 0
B _ CA U DIO
D 9
B _ R S V D / DH2 1 5
B _R S V D /D 1
D 41 8
B _R S V D /A 18
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
B
G
G
G
G
G
G
G
G
G
G
G
G
G
N
N
N
N
N
N
N
N
N
N
N
N
N
D
D
D
D
D
D
D
D
D
D
D
D
D
W 12
V 14
T 7
T 4
N 16
M 2
G 19
G 1
F 12
D 8
C 1
A 16
A 3
1
P C M SP K
15
S US P E N D 1#
1
For PCI4450
V C CC A
A CINT #
A CS E RR #
A CR E Q #
A CA U DIO
1
2
3
4
R N 127
8
7
6
5
8 P 4 R -4 7 K
A CP E RR #
A C IR D Y #
A C S T O P #
A C B LO C K #
R N 1 3 3 8 P 4 R -4 7 K
1
8
2
7
3
6
4
5
A CC LK R U N #
A CD E V S E L#
A CT R DY #
A R S T #
R N 1 3 0 8 P 4 R -4 7 K
1
8
2
7
3
6
4
5
A C S T SC HG
1
2
R 624
47 K
B CINT #
B CS E RR #
B CR E Q #
B CA U DIO
V C CC B
R N 1 2 8 8 P 4 R -4 7 K
1
8
2
7
3
6
4
5
B CP E RR #
B C IR D Y #
B C S T O P #
B C B LO C K #
R N 1 3 2 8 P 4 R -4 7 K
1
8
2
7
3
6
4
5
B CC LK R U N #
B CD E V S E L#
B CT R DY #
B CR S T #
R N 1 3 5 8 P 4 R -4 7 K
1
8
2
7
3
6
4
5
1
2
R 636
47 K
V CC 3
V P P C A
1
C 332
1U (0805)
R 618
43 K
V C C
2
C 333
0 .1 U
2
U 43
15
16
17
1
C 336
1U (0805)
2
1
2
30
+ 12 V
7
24
C 334
0 .1 U
6
14
3 , 7 , 1 6 , 2P3C I R S T #
T P S D AT A
T P S C LO C K
3
4
5
8
A V P P9
A V C C10
. A V C C1 1
,A V C C
3 V
3V .
3V ,
5 V
5V .
5V ,
N
N
N
N
12 V
12V .
C
C
C
C
V C CC A
V CC 3
26
27
28
29
For TPS2216
D AT A
C LO C K
LA T C H
O C
N C
N C
G N D
1
R 641
2
C 330
1 0U (1206)
10 K
V P P C B
C 335
0 .1 U
23
B V P P2 0
R E S E TB V C C 2 1
R E S E T. B V C C 2 2
,B V C C
V C CC B
1
19
13
2
C 338
1 0U (1206)
12
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
T P S 2216D B
A D [0 .. 3 1 ]
A D [0 .. 3 1 ]
3,5, 7,16,21
K APOK
³Í³Õ ¹q¸£
C - B E # [ 0 . . 3 ]3 , 5 , 7 , 1 6 , 2 1
S iz e
D ocum ent
C ustom
D ate:
2
C 616 C 342
0 . 1 U1 0 U ( 1 2 0 6 )
2
1
C - B E # [ 0 .. 3 ]
R 6 3 02
1
C 615
0 .1 U
P M E _ C B #1 7
18
1
C 624
0 .1 U
0
T P S LA T C H
1
C 618 C 339
0 . 1 U1 0 U ( 1 2 0 6 )
2
B C S T SC HG
2
R 619
T P S LA T C H
T P S D AT A
T P S C LO C K
R 617
10 K
2
C 628
0 .1 U
43k
P C M SP K
S IRQ
P C IR S T _1#
2 1 , 2 3P C I R S T _ 1 #
C LK R U N #
7 , 1 4 , 1 6 , 2 1C
, 2L3K R U N #
P A R
3,7, 16,21 P A R
P E RR #
3,16
P E RR #
S E RR #
3,7, 16 S E R R #
G N T #0
3
G N T #0
R E Q #0
3
R E Q #0
S T O P #
3 , 7 , 1 6 , 2S1 T O P #
T R DY #
3 , 5 , 7 , 1 6 , T2 R
1 DY #
IR D Y #
3 , 5 , 7 , 1 6 , I2R1D Y #
D E V S E L#
3 , 7 , 1 6 , 2D1E V S E L #
F RA M E #
3 , 5 , 7 , 1 6 , F2 1R A M E #
P C LK P CM
10 P C LK P CM
1
T 50
1
C 617
0 .1 U
V C CC B
B C C D 1#
C 605
C 611
C 620
C 626
B C C D 1#
19
1 U ( 0 8 0 5 )0 . 1 U
B C C D 2#
1 U ( 0 8 0 5 ) 0 .1 U
B C C D 2#
19
B C V S 1#
B C V S 1
19
B C V S 2#
B C V S 2
19
B C A D [0 .. 3 1 ]
B C A D [ 0 . . 3 11]9
A D 0
A D 1
V CC 3
A D 2
A D 3
R N 1 2 6 8 P 4 R -1 0 K
A D 4
1
8
M F U NC 1
A D 5
2
7
M F U NC 5
A D 6
3
6
M F U NC 6
A D 7
4
5
A D 8
A D 9
A D 10
A D 11
A D 12
A D 13
A D 14
A D 15
V CC P
C B _V C O R E
A D 16
R 622
A D 17
A D 18
A D 19
0
A D 20
V CC 3
A D 21
R N 134
A D 22
B C C D 1#
1
8
A D 23
B C C D 2#
2
7
A D 24
B C V S 1
3
6
A D 25
B C V S 2
4
5
A D 26
A D 27
8 P 4 R -4 7 K
A D 28
C 630 C 625
0 .1 U
A D 29
0 .1 U
A D 30
A D 31
B C C B E # [ 0 .. 3 ]
B C C B E # [ 0 .1. 93 ]
C B E #0
C B E #1
C B E #2
C B E #3
R 637
47
C C L K - R1
2
V CC 3
B C CL K
19
CF RA M E #
R N 131
B CF RA M E 1
#9
CD E V S E L#
A C C D 1#
1
8
B C D E V S E L1 #9
C IR D Y #
A C C D 2#
2
7
B C IR D Y # 19
CT R DY #
A C V S 1
3
6
B CT R DY # 19
C S T O P #
A C V S 2
4
5
B C S T O P # 19
CR E Q #
B CR E Q #
19
CG NT #
8 P 4 R -4 7 K
B CG NT #
19
CS E ER #
C 631 C 613
B CS E RR # 19
0 .1 U
0 .1 U
CP E ER #
B CP E RR # 19
C B LO C K #
B C B L O C K #1 9
C P A R
B C P A R
19
CR S T #
B CR S T #
19
CC LK R U N #
B C C L K R U N1 #9
CINT #
B CINT #
19
C S T SC HG
V CC 3
B C S T SC HG
19
CA U DIO
B CA U DI O 19
R S V D /D 2
B R S V D /D 21 9
R S V D /D 14
B R S V D /D 11
49
R S V D /A 18
R 620
B R S V D /A 11
89
W 1 1T P S L A T C H
L A T C HV 1 1 T P S D A T A
D A T A T 1 1T P S C L O C K
C LO C K
V 9
W 9 S C L
S D A
1U (0805)
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
M
M
M
M
M
M
B 12
V CC B
F 18
V CC B
V
V
V
V
V
V
V
V
V
V
V
A
A
A
A
A
A
A
A
V C CC A
U 50
P C I 4450_G JG
T 10
S P K R O U TR #1 0
S U S P E N DR# 1 1
P M E #/R I_O U T #
LINK
H
H
H
H
H
H
H
H
CL K
F RA M E #
D E V S E L#
IR D Y #
T R DY #
S T O P #
R E Q #
G NT #
S E RR #
P E RR #
B LO C K #
P A R
R S T #
LK R UN #
INT #
S T SC HG
A U DIO
S V D /D 2
S V D /D 14
S V D /A 18
V CC 3
0(0805)
1
C 627
C 607
C 629
1 U ( 0 8 0 5 )0 . 1 U 1 0 U ( 1 2 0 6 )
2
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
C 606
1 0U (1206)
P
P
P
P
P
P
P
P
_C
_C
_C
_C
_ C
_C
_C
_C
_ C
_ C
_C
_C
_C
_C
_C
_C
_ C
_R
_R
_R
21
21
21
C 608
0 .1 U
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
2
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
WOLF
C -B E #0
C -B E #1
C -B E #2
C -B E #3
1
A _C C /B E 0#
A _C C /B E 1#
A _C C /B E 2#
A _C C /B E 3#
2
0(R )
10 K
8,14
ZOOMED VID EO
S D A T A
LR CL K
A S CL K
L15
A D
L18
A D
L19
A D
L16
A D
M 15
A D
M 16
A D
M 18
A D
M 19
A D
N 15
A D
N 14
A D
P 18
A D
P 19
A D
P 16
A D
R 18
A D
R 19
A D
R 15
A D
W 17
A D
V 16
A D
W 16
A D
T 15
A D
V 15
A D
W 15
A D
P 14
A D
R 14
A D
W 14
A D
P 13
A D
R 13
A D
T 13
A D
N 13
A D
R 12
A D
T 12
A D
V 12
A D
1
R 6 2 13 , 7 , 1 6 , 2 1A D 2 3 R 6 2 5
CB S C L
CB S D A
615
0
616
0(R )
657
0(R )
658
0
I _ P IX C L K
1
R 626
P C -R I N G #
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
14
C /B E 3#
16
C /B E 2#
16
C /B E 1#
14
C /B E 0#
15
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
D
M
R
T
T
V CC 3
R
R
R
R
V
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
P 12
IR Q S E R
P 11
G _R S T #
K 19
P R S T #
K 18
C LK R U N #
V 17
P A R
V 18
P E RR #
W 18
S E RR #
K 14
G NT #
L14
R EQ #
V 19
S T O P #
U 18
T R DY #
T 19
IR D Y #
U 19
D E V S E L#
T 18
F RA M E #
K 15
P CL K
19
P H Y _S CL K
19
P H Y _LR E Q
19 P HY _LIN K O N
19
P HY _LP S
7 , 1 6 , 2 1I N T R A #
7,21
INT RB #
7,16
INT RC #
7,23
INT RD #
20
V I _ P IX C L K
20
Z VS T A T
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
_C
W 6
V 6 P HY _C T L0
P HY _C T L1
1P H Y _ S C L KT -6R
P H Y _LR E Q R 6 P HY _C L K
P H Y _ L I N K OP N7 P H Y _ L R E Q
P HY _LP S
V 5 LIN K O N
LP S
R 623
2
CB S C L
CB S D A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
P HY _C T L0
P HY _C T L1
P HY _C T L0
P HY _C T L1
19
19
A _C C D 1#
A _C C D 2#
A _C V S 1
A _C V S 2
SOCKET B
C C D 1#
F 8
19
C C D 2#
L4
19
C V S 1#
K 1
19
C V S 2#
H 6
19
31]
19
A C A D 0
A 8
A C A D 1
E 8
A C A D 2
B 8
A C A D 3
A 7
A C A D 4
G 7
A C A D 5
D 7
V CC 3
A C A D 6
B 7
A C A D 7
F 7
A C A D 8
A 6
A C A D 9
F 6
A C A D 10
A 5
R 614
A C A D 11
B 5
10 K
A C A D 12
A 4
A C A D 13 D 5
A C A D 14 D 4
A C A D 15
B 4
D 33
S US P E N D 1#
S U S _ S T 2A#
C
A C A D 16
B 3
7 , 2 2 , 2S
7 US _S T 2#
A C A D 17 G 5
F 01J2 E (R)
A C A D 18 G 2
A C A D 19 G 4
A C A D 20 H 1
A C A D 21 H 4
A C A D 22 H 5
A C A D 23
J1
A C A D 24
J5
A C A D 25
J6
A C A D 26
K 6
A C A D 27
L5
A C A D 28 M 6
A C A D 29 M 1
A C A D 30 M 4
A C A D 31 N 7
A C C B E # [ 0 .. 3 ]
1 9 A C C B E # [ 0 .. 3 ]
A C C B E # 0D 6
A CC B E #1A 2
A C C B E # 2G 6
A CC B E #3 J2
R 632
47
2
1 A C C LK -R E 2
19
A C CL K
A C F R A M EF #2
19
A CF RA M E #
A C D E V S EEL5#
19
A CD E V S E L#
A C IR D Y # F 4
19
A C IR D Y #
A C T R D Y #F 5
19
A CT R DY #
A C S T O P #D 2
19
A C S T O P #
A CR E Q # J4
19
A CR E Q #
A CG NT # E 4
19
A CG NT #
A C S E R R #K 4
19
A CS E RR #
A C P E R R #D 1
19
A CP E RR #
A C B L O C KC#2
19
A C B LO C K #
A C P A R
B 1
19
A C P A R
A R S T #
H 2
19
A R S T #
A C C L K R U LN2#
19 A CC LK R U N #
A CINT #
K 2
19
A CINT #
A C S T S C HLG1
19
A C S T SC HG
A CA U DI O L6
19
A CA U DIO
A R S V D /D M
2 5
19
A R S V D /D 2
A R S V D /D 1
E 47
19
A R S V D /D 14
A R S V D /A B
1 82
19
A R S V D / A 1P8H Y _ D [ 0 . . 7 ]
19
P H Y _ D [ 0 .. 7 ]
R 627
P H Y _D 0 R 7
1
2 P C LK P CM
P H Y _D 1
V 7
P H Y _D 2 W 7
75(R )
P H Y _D 3
P 8
1
P H Y _D 4 W 8
C 609
P H Y _D 5
V 8
P H Y _D 6
T 8
2 15 P (R )
P H Y _D 7 R 8
B 6
V CC A
F 1
V CC A
K 5
V CC A
A
A C C D 1#
A
A C C D 2#
A
A C V S 1
A
A C V S 2A C A D [ 0 . .
A C A D [0 .. 3 1 ]
19
19
S D A T A
LR CL K
A S CL K
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
Z
C B _V C O R E
V CC P
V C CC B
V C CC A
C B _V C O R E
R 613
1
2
C 623
Num ber
R ev
1.2
Cardbus PCI4450
F rid a y , O c t o b e r 2 0 , 2 0 0 0
S heet
18
of
37
P C LK P CM
75
C 622
15P F
6 – 19
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
A1
A4
A7
A10
A13
A16
A19
A22
A25
A26
A29
A32
A35
A38
A41
A44
A47
A50
CN16
A74
A24
VP PCA
VP PCA
VP PCA
A77
A27
ACCLK
ACFRA ME#
ACIRDY #
ACTRDY#
ACDEV SEL#
ACSTOP#
ACPA R
ACPE RR#
ACSE RR#
ACREQ#
ACGNT#
ACINT#
ACBLOCK#
ACCLKRUN#
ARST#
ARSV D/D2
ARSVD/D14
ARSVD/A18
ACVS 1
ACVS 2
ACCD1#
ACCD2#
CA UDIO
CSTS CHG
A28
A80
A30
A78
A73
A71
A18
A20
A87
A89
A21
A23
A70
A49
A86
A48
A58
A68
A62
A84
A52
A99
A92
A93
ACCBE#3
ACCBE#2
ACCBE#1
ACCBE#0
A90
A31
A17
A9
CCLK (A16)
CFRA ME# (A23)
CIRDY# (A15)
CTRDY# (A22)
CDE VS EL# (A21)
CSTOP# (A20)
CP AR (A13)
CPERR# (A14)
CSE RR# (WAIT*)
CREQ# (INPA CK*)
CGNT# (WE*)
CINT# (IRQ*)
CB LOCK# (A19)
CCLK RUN# (IO16*)
CRES ET# (RESE T)
RFU (R2_D2)
RFU (R2_D14)
RFU (R2_A18)
CVS1
CVS2
CCD1# (CD1*)
CCD2# (CD2*)
CA UDIO (B VD2/SPKR*)
CSTS CHG (BVD1/RI*)
CC/BE 3# (REG*)
CC/BE2# (A12)
CC/BE1# (A8)
CC/BE 0# (CE1*)
1394_3V 1394_3V
R41
18
1K
19
15
1
2
4
5
6
7
8
9
10
11
12
13
20
21
22
53
14
C111
0.1U
R61
1K
32
33
39
48
49
50
18
PCMCIA-B
R40
TESTM
R0
R1
X0
X1
C/KLON
LPS
LREQ
SYS CLK
CTL0
CTL1
D0
D1
D2
D3
D4
D5
D6
D7
PC0
PC1
PC2
RE SE T
PD
AGND1
AGND2
AGND3
AGND4
AGND5
AGND6
1394_3V
VCC3
IS O
CP S
NC
NC
NC
NC
NC
C673
25
26
61
62
C674
23
C675
24
R39
10K
D42
A
VCC
A
1394_3V
D7
L105
L106
L107
L108
L109
L110
L111
L112
TPA0#
FILTER0
FILTER1
SE
SM
PLLGND1
PLLGND2
CNA
GND
DGND
DGND4
DGND5
C38
1U(0805)
C40
0.1U
C41
0.1U
C107
1U(0805)
C110
0.1U
C113
1U(0805)
C89
0.1U
10U(1206)
C120
4.7U(1206)
C109
4.7U(1206)
C104
0.1U
C676
10U(1206)
0.1U
47
46
45
44
43
TPA 0
TPB TAS 0
TPA0+
TPA 0TPB0+
TPB 0-
C39
0.1U
0.1U
0(1206)
1
+12V
56
R87
2
DV DD1
DV DD2
DV DD3
DV DD4
F1AJ3(R)
C
1
PLLVDD
D41
A
2
NC
1
AV DD1
AV DD2
AV DD3
AV DD4
AV DD5
16
38
37
36
35
34
TPB IAS 0
TPA 0
TPA0#
TPB 0
TPB0#
54
55
C112
0.1U
28
29
TPB 0
TPB0#
R354
R353
R331
CN25
9
8
7
6
5
4
3
2
1
VCC3
C_GND3
C_GND2
C_GND1
TPA
TPA #
TPB
TPB #
VGND
VP P
1394_CON
VCC3
U41
1
2
3
4
A0
A1
A2
VS S
VCC
NC
SCL
SDA
8
7
6
5
NM24C02UFLEMT8
VCC3
R303
4.7K
R304
4.7K
CB SCL
CB SDA
18
18
R693
4.7K
56.2(1% )
TPB IAS 0
VCC3
R692
4.7K(R)
56.2(1% )
57
58
3
17
18
63
64
C
F1AJ3
choke
BK1608HS220
choke
BK1608HS220
choke
BK1608HS220
choke
BK1608HS220
R341
56.2(1% )
56.2(1% )
F1AJ3(R)
C
1
R642
10
PHY_CTL0
PHY_CTL1
PHY_D0
PHY_D1
PHY_D2
PHY_D3
PHY_D4
PHY_D5
PHY_D6
PHY_D7
R60
PHY_D[0..7]
60
59
1K
BCCBE #[0..3]
CC/BE 3# (REG*)
CC/BE2# (A12)
CC/BE1# (A8)
CC/BE 0# (CE1*)
2
XI
R42
B90
B31
B17
B9
CCLK (A16)
CFRA ME# (A23)
CIRDY# (A15)
CTRDY# (A22)
CDE VS EL# (A21)
CSTOP# (A20)
CP AR (A13)
CPERR# (A14)
CSE RR# (WAIT*)
CREQ# (INPA CK*)
CGNT# (WE*)
CINT# (IRQ*)
CB LOCK# (A19)
CCLK RUN# (IO16*)
CRES ET# (RESE T)
RFU (R2_D2)
RFU (R2_D14)
RFU (R2_A18)
CVS1
CVS2
CCD1# (CD1*)
CCD2# (CD2*)
CA UDIO (B VD2/SPKR*)
CSTS CHG (BVD1/RI*)
1
PHY_LREQ
PHY_S CLK
PHY_CTL0
PHY_CTL1
XO
BCCBE#3
BCCBE#2
BCCBE#1
BCCBE#0
VP P
VP P
2
18
18
18
18
40
41
C115
B28
B80
B30
B78
B73
B71
B18
B20
B87
B89
B21
B23
B70
B49
B86
B48
B58
B68
B62
B84
B52
B99
B92
B93
1
18 PHY_LINKON
18
PHY_LP S
27
12P
B77
B27
BCCLK
BCFRA ME#
BCIRDY #
BCTRDY#
BCDEV SEL#
BCSTOP#
BCPA R
BCPE RR#
BCSE RR#
BCREQ#
BCGNT#
BCINT#
BCBLOCK#
BCCLKRUN#
BCRST#
BRSV D/D2
BRSVD/D14
BRSVD/A18
BCVS 1
BCVS 2
BCCD1#
BCCD2#
BCAUDIO
BCSTS CHG
BCAD[0..31]
BCAD31
BCAD30
BCAD29
BCAD28
BCAD27
BCAD26
BCAD25
BCAD24
BCAD23
BCAD22
BCAD21
BCAD20
BCAD19
BCAD18
BCAD17
BCAD16
BCAD15
BCAD14
BCAD13
BCAD12
BCAD11
BCAD10
BCAD9
BCAD8
BCAD7
BCAD6
BCAD5
BCAD4
BCAD3
BCAD2
BCAD1
BCAD0
2
12P
VP PCB
VP PCB
BCCLK
BCFRA ME#
BCIRDY #
BCTRDY#
BCDEV SEL#
BCSTOP#
BCPA R
BCPE RR#
BCSE RR#
BCREQ#
BCGNT#
BCINT#
BCBLOCK#
BCCLKRUN#
BCRST#
BRSV D/D2
BRSVD/D14
BRSVD/A18
BCVS 1
BCVS 2
BCCD1#
BCCD2#
BCAUDIO
BCSTS CHG
BCAD[0..31]
B98
B96
B46
B95
B45
B43
B42
B40
B39
B37
B36
B34
B83
B33
B81
B67
B65
B15
B64
B14
B12
B61
B11
B59
B8
B56
B6
B55
B5
B53
B3
B2
(D10) CAD31
(D9) CAD30
(D1) CAD29
(D8) CAD28
(D0) CAD27
(A 0) CAD26
(A 1) CAD25
(A 2) CAD24
(A 3) CAD23
(A 4) CAD22
(A 5) CAD21
(A 6) CAD20
(A25) CAD19
(A 7) CAD18
(A24) CAD17
(A17) CAD16
(IOWR*) CAD15
(A 9) CAD14
(IORD*) CAD13
(A11) CAD12
(OE*) CAD11
(CE2*) CAD10
(A 10) CAD9
(D15) CAD8
(D7) CAD7
(D13) CAD6
(D6) CAD5
(D12) CAD4
(D5) CAD3
(D11) CAD2
(D4) CAD1
(D3) CAD0
C693
2
C114
VP PCB
VCC
VCC
PCMCIA-A
2
1M(1% )
B74
B24
2
R62
Y3 24.5760MHz
1
2
VCCCB
VCCCB
10K
30
31
42
51
52
6.34K(1% )
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
VCCCB
1394_3V
U5
10K
R63
18
18 BCCBE #[0..3]
A51
GND
A54
GND
A57
GND
A60
GND
A63
GND
A66
GND
A69
GND
A72
GND
A75
GND
A76
GND
A79
GND
A82
GND
A85
GND
A88
GND
A91
GND
A94
GND
A97
GND
A100
GND
ACCBE #[0..3]
18 ACCBE #[0..3]
(D10) CAD31
(D9) CAD30
(D1) CAD29
(D8) CAD28
(D0) CAD27
(A 0) CAD26
(A 1) CAD25
(A 2) CAD24
(A 3) CAD23
(A 4) CAD22
(A 5) CAD21
(A 6) CAD20
(A25) CAD19
(A 7) CAD18
(A24) CAD17
(A17) CAD16
(IOWR*) CAD15
(A 9) CAD14
(IORD*) CAD13
(A11) CAD12
(OE*) CAD11
(CE2*) CAD10
(A 10) CAD9
(D15) CAD8
(D7) CAD7
(D13) CAD6
(D6) CAD5
(D12) CAD4
(D5) CAD3
(D11) CAD2
(D4) CAD1
(D3) CAD0
VP P
VP P
ACAD[0..31]
ACAD31
ACAD30
ACAD29
ACAD28
ACAD27
ACAD26
ACAD25
ACAD24
ACAD23
ACAD22
ACAD21
ACAD20
ACAD19
ACAD18
ACAD17
ACAD16
ACAD15
ACAD14
ACAD13
ACAD12
ACAD11
ACAD10
ACAD9
ACAD8
ACAD7
ACAD6
ACAD5
ACAD4
ACAD3
ACAD2
ACAD1
ACAD0
1
ACCLK
ACFRA ME#
ACIRDY #
ACTRDY#
ACDEV SEL#
ACSTOP#
ACPA R
ACPE RR#
ACSE RR#
ACREQ#
ACGNT#
ACINT#
ACBLOCK#
ACCLKRUN#
ARST#
ARSV D/D2
ARSVD/D14
ARSVD/A18
ACVS 1
ACVS 2
ACCD1#
ACCD2#
ACAUDIO
ACSTS CHG
VCC
VCC
ACAD[0..31]
A98
A96
A46
A95
A45
A43
A42
A40
A39
A37
A36
A34
A83
A33
A81
A67
A65
A15
A64
A14
A12
A61
A11
A59
A8
A56
A6
A55
A5
A53
A3
A2
1
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
18
VCCCA
VCCCA
VCCCA
B51
GND
B54
GND
B57
GND
B60
GND
B63
GND
B66
GND
B69
GND
B72
GND
B75
GND
B76
GND
B79
GND
B82
GND
B85
GND
B88
GND
B91
GND
B94
GND
B97
GND
B100
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
CN15
B1
B4
B7
B10
B13
B16
B19
B22
B25
B26
B29
B32
B35
B38
B41
B44
B47
B50
Service Manual
R37
R38
1K
1K
R351
C411
5.11K(1% )
220P
C365
0.1U
R762
BK1608HS121
R747
BK1608HS121
1U(0805)
1394GND
KAPOK
³Í³Õ¹q¸£
TSB41LV01
Size
Document Number
Custom
Rev
1.2
Ti1394&PCMCIA SOCKET A/B
Date:
6 – 20
Friday, October 20, 2000
Sheet
19
of
37
Part 6: Schematics
RN140
4
3
2
1
ZVP ORT8
ZVP ORT9
ZVPORT10
ZVPORT11
5
6
7
8
ZVP ORT0
ZVP ORT1
ZVP ORT2
ZVP ORT3
ZVPPORT8
ZVPPORT9
ZVPPORT10
ZVPPORT11
RN139
4
3
2
1
5
6
7
8
ZVPPORT0
ZVPPORT1
ZVPPORT2
ZVPPORT3
23 ZVP ORT[15..0]
23 ZVP ORT[15..0]
ZVPPORT[15..0]
ZVPPORT[15..0]
U829
ZVP ORT0
ZVP ORT1
ZVP ORT2
ZVP ORT3
ZVP ORT4
ZVP ORT5
ZVP ORT6
ZVP ORT7
8P4R-0
ZVPORT12
ZVPORT13
ZVPORT14
ZVPORT15
4
3
2
1
RN142
5
6
7
8
ZVP ORT4
ZVP ORT5
ZVP ORT6
ZVP ORT7
ZVPPORT12
ZVPPORT13
ZVPPORT14
ZVPPORT15
RN141
4
3
2
1
5
6
7
8
18
18
U830
8P4R-0
ZVPPORT4
ZVPPORT5
ZVPPORT6
ZVPPORT7
2
4
6
8
11
13
15
17
8P4R-0
1
19
ZVS TAT#
8P4R-0
A0
A1
A2
A3
A4
A5
A6
A7
18
16
14
12
9
7
5
3
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
ZVP ORT8
ZVP ORT9
ZVPORT10
ZVPORT11
ZVPORT12
ZVPORT13
ZVPORT14
ZVPORT15
ZVPPORT0
ZVPPORT1
ZVPPORT2
ZVPPORT3
ZVPPORT4
ZVPPORT5
ZVPPORT6
ZVPPORT7
2
4
6
8
11
13
15
17
1
19
ZVS TAT#
OE 0
OE 1
A0
A1
A2
A3
A4
A5
A6
A7
18
16
14
12
9
7
5
3
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
ZVPPORT8
ZVPPORT9
ZVPPORT10
ZVPPORT11
ZVPPORT12
ZVPPORT13
ZVPPORT14
ZVPPORT15
OE 0
OE 1
AHC244(R)
AHC244(R)
VCC3
VCC
VCC3
VCC3
VCC3
1
1
VCC
R71
R70
R689
0
0(R)
0
10K
R117
C665
10U(1206)
C666
0.1U
C663
10U(1206)
R99
C664
0.1U
2
R375
0(R)
0
0
T
T
T
T
2
R376
C449
23
17
11
43
1
25
51
75
33
58
68
83
93
91
92
94
95
19
13
2.2K
26
VIDE O_IN
VIDE O_IN
33
R64
0
R65
3.3K
37
39
40
3.3K
34
35
36
12 miles trace ¥] Ground plane
CN24
RCA JACK
1
1 R337
2
1
C400
2
R66
2
4
5
3
8
22
28
29
30
FCM1608K221
2
47n
L72
2
R338
FCM1608K-601
C632
C
Video_GND
56
1
20
18
10
12
14
16
7
6
Video_GND
VCC3
Y4
4
C459
0.01U
R109
1
2
MHz
3
1M 2
ICLK
AMCLK
ASCLK
ALRCLK
HPD0
HPD1
HPD2
HPD3
HPD4
HPD5
HPD6
HPD7
RTS 0
RTS 1
RTCO
TDO
XTOUT
VXSS
TDI
VXDD
AOUT
LLC
LLC2
RE SON
AI11
AI12
AI24
AI23
AI22
AI21
XTA LI
XTA L
XPD0
XPD1
XPD2
XPD3
XPD4
XPD5
XPD6
XPD7
TEST0
TEST1
TEST2
TEST3
TEST4
TEST5
Y5 24.5760MHz
1
2
1
24.5760MHz-OSC
XRDY
SDA
IDQ
21
24
15
9
26
50
76
100
38
63
88
1
96
32
46
R67
R374
XTRI
TRSTN
TCK
TMS
IGP0
IGP1
IGPV
IGPH
M2GPIO1
LPD0
LPD1
LPD2
LPD3
LPD4
LPD5
LPD6
LPD7
80
97
98
99
48
49
52
53
R68 33
CE
SCL
AMXCLK
ITRDY
ITRI
C119
10P
R408
R402
R403
R393
R394
R388
R389
R384
45
R385
72
71
70
69
67
66
65
64
ZVP ORT[15..0]
47n
62
61
60
59
57
56
55
54
Video_GND
33
33
33
33
33
33
33
33
33
90
89
87
86
85
84
82
81
18
16
14
12
9
7
5
3
ZVP ORT0
ZVP ORT1
ZVP ORT2
ZVP ORT3
ZVP ORT4
ZVP ORT5
ZVP ORT6
ZVP ORT7
23
R744
VCC3
R694
OE 0
OE 1
10K(R)
0(R)
U824
4
OUT
GND
IN
ZVS TAT#
VCC3
5
VCC
N.C.
3
2
ZVSTA T
18
1
U822
2
4
6
8
11
13
15
17
A0
A1
A2
A3
A4
A5
A6
A7
1
19
T
T
T
T
T
T
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
18
16
14
12
9
7
5
3
TC7SO4F
C677
0.01U
OE 0
OE 1
AHC244
U825
3
2
1
U8
SA A7114
1
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
AHC244
T
T
T
T
T
T
T
T
44
73
74
77
78
79
A0
A1
A2
A3
A4
A5
A6
A7
1
19
T
T
T
T
T
T
T
T
ZVP ORT[15..0]
U821
2
4
6
8
11
13
15
17
GND
OUT
4
ZV_PI XCLK
18,23
IN
OE #
VCC
74ALVC125(R)
5
VCC3
C678
0.01U
T
T
T
T
T
T
M2GPIO1
27
31
41
42
47
T
23
LTGIOV0
M2GPIO0
C448
47n
VDDA0
VDDA1
VDDA2
VDDCI
VDDE
VDDE
VDDE
VDDE
VDDI
VDDI
VDDI
VDDI
VDDI
XRV
XRH
XCLK
XDQ
AI1D
AI2D
LTGIOV0
M2GPIO0
AGND
VSSA 0
VSSA 1
VSSA 2
VSSE
VSSE
VSSE
VSSE
VSS I
VSSE
VSSE
23
23
R69
2.2K
C460
2
10P
2
Video_GND
VI_PI XCLK
VI_PI XCLK
18
KAPOK
³Í³Õ¹q¸£
Size
Document Number
Custom
Rev
0
VIDEO IN(SA7114)
Date:
Friday, October 20, 2000
Sheet
20
of
37
6 – 21
Service Manual
14
V CC
U23
1
2
3
4
5
6
A VDD
CN5
U21
S PDIF
S PDIF
9
8
11
10
R208
13
12
300
7
0.1U
S PDIFON
DVD_DRV
DVD_DRV
8
7
6
5
NO
NIC
IN
NIC
COM
NC
GND
V CC
1
2
3
4
25
S PDIFOUT
MIC_IN
P I5A 319A(S O8)
L51
INTMIC
0
C208
0.1U
7
74HCT04
C219
A VDD
INTMIC
L48
L49
5
4
3
2
1
0(0803)
FCM2012V -301
MIC1
R202
C537
C188
7.5K
0.022U
680P
MIC IN /
SPDIF OUT
P HONE JA CK
C179
0.22U
R525
A GND
+
7.5K
C222
10U(1206)
A GND
V CC
U35
78L05(S O8)
L98
0(1206)
1
OUT
8
IN
L60
0(1206)
+12V
26
A GND
GD[0..7]
C717
C718
C719
C720
C285
47P
47P
47P
47P
0.1U
10U(1206)
C265
0.1U
GD[0..7]
GD7
GD6
GD5
GD4
GD3
GD2
GD1
GD0
R609
1
2
3
4
RN144
8
7
6
5
10K
A GND
V CC3
V OLUP #
S DA TA
LRCLK
A SCLK
V OLUP #
S DA TA
LRCLK
A SCLK
8P4R-2.2K
R681
0
C721
0.01U
13
18
18
18
3.3K
R728
R301
C282
+
2
3
6
7
0(1206)
5
6
7
8
L58
RN143
8P 4R-10K
GND
GND
GND
GND
4
3
2
1
A VDD
R753
V CC3
10K (R)
3.3K
R305
0(R)
CD_GND
C722
0.01U
C723
0.01U
C724
R754
R755
47
47
TXD
RXD
C725
C726
1000P
1000P
26
26
0.01U
A GND
T
T
T
T
T
V CC3
CLK RUN#
CLK RUN#
R306
MHz
3
57
58
33
49.152MHZ
OSCI
OSCO
R763
9
CD_L
67K (1% )
C737
0.022UF
15
P C_BE EP
22
P HONE
R764
9
CD_GND
9
CD_R
MIC1
3.3K (1% )
9
R765
67K (1% )
C738
0.022UF
R766
9
CD_GND
CD_GND
22
22
LINE IN_L
LINE IN_R
22
22
A OUTL
A OUTR
P C_BE EP
C600
1U(0805)
P HONE
C599
1U(0805)
MIC1
C304
1U(0805)
CD_GND
C310
C315
C308
64
Z001
69
1U(0805)
1U(0805)
1U(0805)
LINE IN_L
LINE IN_R
65
81
67
66
68
Z005
Z004
A OUTL
A OUTR
70
71
79
80
P C_BE EP
P HONE
MONO_OUT
MIC
CD_GND
CD_L
CD_R
LINE _IN_L
LINE _IN_R
LINE _OUT_L
LINE _OUT_R
3.3K (1% )
C291
1000P
C592
10U(1206)
C591
10U(1206)
C289
0.1U
C288
0.1U
A VS S1
A VS S2
GND
GND
GND
GND
39
R(10K)
V CC
V CC
V CC
A VDD1
A VDD2
V RE F
REQ#
GNT#
P CICLK
ES1988
C/B E3#
C/B E2#
C/B E1#
C/B E0#
P CGNT#/P ME#/SP DIFO/V OLDN#
P CREQ#/S PDIFO/R0#/IDS EL
P AR
S TOP #
DEV SE L#
TRDY#
IRDY #
FRA ME #
V AUX
7,14,16,18,23
R310
90
41
12
V CC3
C286
0.1U
C312
C329
+ C318
1U(0805) 0.1U
10U(1206)
72
83
A VDD
74
REF
92
91
REQ#3
GNT#3
88
AUDIO_CLK
87
86
1
13
20
30
54
2
19
18
17
16
15
14
55
P CIRST_1#
C-B E#3
C-B E#2
C-B E#1
C-B E#0
P AR
S TOP #
DEV SE L#
TRDY#
IRDY #
FRA ME #
REQ#3
GNT#3
3
3
AUDIO_CLK
10
P CIRST_1#
18,23
C-B E#3
C-B E#2
C-B E#1
C-B E#0
3,5,7,16,18
3,5,7,16,18
3,5,7,16,18
3,5,7,16,18
P AR
S TOP #
DEV SE L#
TRDY#
IRDY #
FRA ME #
V CC3
3,7,16,18
3,7,16,18
3,7,16,18
3,5,7,16,18
3,5,7,16,18
3,5,7,16,18
C301
C293
C294
0.1U
10U(1206)
C287
0.1U
+
0.1U
+
C305
10U(1206)
A GND
R288
0(R)
R594
0
R610
10K
V OLDN#
S PDIF
V CC3
V OLDN#
INTRA#
7,16,18
INTRB#
7,18
13
38
37
36
35
34
33
32
31
29
28
27
26
25
24
23
22
11
10
9
8
7
6
5
4
100
99
98
97
96
95
94
93
C290
1000P
73
82
89
40
21
3
A FILT1
A FILT2
V CM
V RE FA DC
U38
E S1988
INT#
RST#
A D0
A D1
A D2
A D3
A D4
A D5
A D6
A D7
A D8
A D9
A D10
A D11
A D12
A D13
A D14
A D15
A D16
A D17
A D18
A D19
A D20
A D21
A D22
A D23
A D24
A D25
A D26
A D27
A D28
A D29
A D30
A D31
75
76
77
78
CLK RUN# / ECS
46
45
44
43
42
C323
0.01U
GP IO15 / GD7
GP IO14 / GD6
GP IO13 / GD5
GP IO12 / P CGNT# / GTO# / GS 0
GP IO11 / S DO2 / V auxD
GP IO10 / S CLK 2
GP IO9 / SDFS2
GP IO8 / SDI2
GP IO7 / MC97_DI / PCREQ# / VOLUP #
GP IO6 / R0#
GP IO5 / GS 0 / GT0#
NC
GP IO3 / SRES ET2
GPIO2 / TX D
GP IO1 / RX D
2
4
GD4
GD3 / E CLK / V OLDN#
GD2 / E DIN / V OLUP#
GD1 / E DOUT
GD0
1
49
48
47
63
62
61
60
56
53
52
51
50
59
85
84
Y8
0.022U
Z005
C714
0.022U
Z004
C688
0.022U
Z001
3,5,7,16,18
A D[0..31]
A D31
A D30
A D29
A D28
A D27
A D26
A D25
A D24
A D23
A D22
A D21
A D20
A D19
A D18
A D17
A D16
A D15
A D14
A D13
A D12
A D11
A D10
A D9
A D8
A D7
A D6
A D5
A D4
A D3
A D2
A D1
A D0
A GND
C713
A D[0..31]
KAPOK
³Í³Õ¹q¸£
A GND
Near ESS1988
S ize
Document Number
Custom
Date:
6 – 22
Friday, October 20, 2000
Rev
0
ES1988
S heet
21
of
37
Part 6: Schematics
CN2
L57
0(0805)
MSPKL
MSPKLG
MSPKL
MSPKLG
AGND
CN3
1
2
AMPVDD
MSPKR
MSPKRG
MSPKR
MSPKRG
1
2
SP_R
SP_L
C593
C302
4.7U(1206)
0.1U
R682
VCC
AGND
0(1206)(R)
AGND
C667
C668
0.1U
0.01U 4.7U(1206)
C669
AMPVDD
U40
MIC29152BU
4 .7V
4
OUT
1
ENABLE
R608
5
ADJ
18K(1%)
HP_SENSE
R581
C317
C327
C328
IN
C326
100K
4.7U(1206)
VCC
C325
C324
C322
0.1U
0.01U
4.7U(1206)
3
0.1U
4.7U(1206)
2
GND
C319
C583
0.1U(0805)
0.1U 4.7U(1206)
R605
R572
6.34K(1%)
100K
C277
AGND
R292
C266
10K
0.01U
0.1U
6
16
27
U37
C255
0.068U
HP_SENSE
R296
1U(0805)
10K
3
AGND
AGND
R291
0(R)
R295
20K(1%)
AOUTL
AOUTL
C303
0.33U
15K(1%)
12
R294
(R)
11
21
R299
R298
AOUTR
AOUTR
(R)
10
C309
15K(1%)
0.33U
R293
C598
R602
10K
R599
20K(1%)
9
0.1U
R695
7
4.7K
22
R600
LEFTDOCK
LEFTOUT+
R268
R269
20K(1%)
20K(1%)
R267
CN9
18
L55
FCM2012V-301
L56
FCM2012V-301
MSPKLG
MSPKL
15
MSPKLG
MSPKL
47U(D)
PHONEJACK
R238
1K
BEEP
RIGHTIN
RIGHTOUT+
RIGHTDOCK
DCVOL
RIGHTGAIN2
SHUTDN
RIGHTGAIN1
28
MSPKR
MSPKRG
MSPKR
MSPKRG
26
C224
120P
120P
SPEAKER OUT
AGND
47U(D)
R265
24
C236
C242
25
R264
R266
20K(1%)
BYPASS
C254
5
4
3
2
1
C243
17
LEFTIN
20K(1%)
R237
1K
20K(1%)
C253
AMP_DOWN#
AMP_DOWN#
19
0.068U
LM4835MTE
6.8K
1
8
14
20
23
7
LEFTGAIN2
RIGHTOUT-
2.2K
2
AMPVDD
GAINSELECT
LEFTOUT13
R300
21
20K(1%)
LEFTGAIN1
GND
GND
GND
GND
GND
C597
AGND
HPSENSE
MODE
MUTE
+
21
4
5
0(R)
1K
+
AGND
R297
R603
VDD
VDD
VDD
AGND
1U(K%)(0805)
LINE IN
AGND
AGND
AGND
AGND
CN7
21
21
LINEIN_R
LINEIN_R
C244
0.22U
L50
FCM2012V-301
LINEIN_L
C245
0.22U
L52
FCM2012V-301
LINEIN_L
5
4
3
2
1
C212
R729
C209
1.2K
330P
PHONEJACK
R730
1.2K
330P
PI N2 9~ 42: AG ND
VCC3
CN11
VCC3
U827A
VCC3
U828
R741
1
1M
2
1
3
5
13
11
9
Y10 24.5760MHz
1
2
1
C706
1
C707
7
18P
2
18P
2
14
C708
0.1U
A0
A1
A2
A3
A4
A5
GND
2
Y0
Y1
Y2
Y3
Y4
Y5
2
4
6
12
10
8
3,7
7,18,27
3
SUSST#
SUS_ST2#
R748
R749
R752
SUSST#
SUS_ST2#
4
1
14
7
VCC
74LV04
0(R)
0
0(R)
C709
0.1U
D1
Q
Q
C739
5
6
0.1U
CLK
PRE#
CLR#
D2
CLK2
PRE#2
CLR#2
12
11
10
13
7
7
SDOUT
ACRST#
SDOUT
ACRST#
R582
VDD
GND
VCC3
0
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
MONO_OUT
GND
AUXR
AUXL
CDGND
CD_R
CD_L
GND
3.3V
GND
3.3V
SDATA_O
RESET#
GND
MCLK
AUDIO_PD
MONO_PHONE
R_D
GND
VCC
R_D
R_D
P_DN
VCC
GND
SYNC
SDATA_INB
SDATA_INA
GND
BCLK
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
PHONE
1
PHONE
21
C727
C740
VCC
2
C
SDIN2
0.1U
SDIN2
7
SDIN
7
R720
SYNC
SDIN2
SDIN
BITCLK
SYNC
7
BITCLK
7
0
SDIN
MDC30
74LVC74
R742
0
K APO K
³Í³Õ¹q¸£
12 miles trace ¥] Ground plane
Size
Document Number
Custom
Date:
Friday, October 20, 2000
Rev
2.0A
AMP&MDC
Sheet
22
of
37
6 – 23
Service Manual
GA D[31..0]
GA D[31..0]
GB E#[3..0]
GB E#[3..0]
INTR D #
GFR A ME #
GIRD Y #
GTRD Y #
GD E V S E L#
GS TOP
GP AR
GGN T#
GRE Q #
GR S T#
GCLK O
C LK RU N #
S US P EN D #
S TA N DB Y #
P [0..19]
P0
P1
P2
P3
P4
P5
P6
P7
P8
P9
P 10
P 11
P 12
P 13
P 14
P 15
P 16
P 17
P 18
P 19
G4
F3
F2
E1
F1
G3
H1
H2
G2
G1
J3
J2
J1
K4
K3
K2
L2
L3
K1
L1
H3
H4
J4
L5
G5
P V DD
TX V D DR
T3
T4
U1
10K
10K
10K
E NA V DD
B LON #
LU MA
C R MA
C O MP
V3
Y1
LU MA
C R MA
C O MP
N1
N2
N3
P2
N4
P1
M5
M4
T
357
R 396
RED
GR E E N
B LUE
HS Y NC
V S Y NC
R 399
365
RED
GR E E N
B LUE
HS Y NC
V S Y NC
A VD
C3
C2
B1
E3
F4
D3
D2
E4
D4
R 395
MO ND E T
P4
P V DD
24
24
X TA LIN
X TALOU T
the
GCLK O R 463
RAGE
R
G
B
HS Y NC
V S Y NC
RS E T
A V DD
AV S SQ
AV S SN
MO ND E T
F14
V PP
E 13
V PP
J16
V PP
G15
V PP
E 11
V PP
K 18
PA V SS
K 17
P A V DD
H 16
S T0
H 17
S T1
H 20
S T2
A 19
B 18
A 17
C 17
E 16
B 16
C 16
A 15
SB A 0
SB A 1
SB A 2
SB A 3
SB A 4
SB A 5
SB A 6
SB A 7
C 15
S TP _A GP #
B 15
A GP _B US Y #
H 18
R B F#
C 13
A D_S TB 0
F17
A D_S TB 1
C 20
S B _S TB
B 11
A 11
C 11
D 12
C 12
A 12
B 12
D 13
A 13
E 14
C 14
D 14
A 14
A 18
D 15
B 14
D 18
C 19
E 17
E 18
D 20
B 20
F18
F19
G18
G19
F20
D 17
J20
G16
G20
F16
B 13
C /B E #0
E 15
C /B E #1
E 20
C /B E #2
C 18
C /B E #3
J19
C P UC LK
G17
R E S E T#
J17
RE Q#
J18
GN T#
A 16
P AR
B 17
S TOP #
D 16
D E VS E L#
B 19
TRD Y #
A 20
IRD Y #
D 19
FR AME #
K 16
IN TR #
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
A D 10
A D 11
A D 12
A D 13
A D 14
A D 15
A D 16
A D 17
A D 18
A D 19
A D 20
A D 21
A D 22
A D 23
A D 24
A D 25
A D 26
A D 27
A D 28
A D 29
A D 30
A D 31
MEMORY INTERFA CE
C1
E2
D1
MO BILI TY
M3
P V DD
X TA LIN
X TALOU T
TE STE N
P V SS
Y 11
MD 0
U 12
MD 1
V 12
MD 2
W 12
MD 3
Y 12
MD 4
V 13
MD 5
W 13
MD 6
Y 13
MD 7
U 14
MD 8
V 14
MD 9
W 14
MD 10
Y 14
MD 11
U 15
MD 12
V 15
MD 13
W 15
MD 14
Y 15
MD 15
U 16
MD 16
V 16
MD 17
W 16
MD 18
Y 16
MD 19
V 17
MD 20
W 17
MD 21
Y 17
MD 22
W 18
MD 23
Y 18
MD 24
W 19
MD 25
Y 19
MD 26
Y 20
MD 27
W 20
MD 28
V 19
MD 29
V 18
MD 30
V 20
MD 31
U 20
MD 32
U 19
MD 33
U 18
MD 34
U 17
MD 35
T20
MD 36
T19
MD 37
T18
MD 38
T17
MD 39
R 16
MD 40
R 20
MD 41
R 19
MD 42
R 18
MD 43
R 17
MD 44
P 20
MD 45
P 19
MD 46
P 18
MD 47
P 17
MD 48
N 16
MD 49
N 20
MD 50
N 19
MD 51
N 18
MD 52
N 17
MD 53
M20
MD 54
M19
MD 55
M18
MD 56
M17
MD 57
L20
MD 58
L19
MD 59
L18
MD 60
L17
MD 61
K 20
MD 62
K 19
MD 63
to
Y
C
C O MP
S Y NC
R2S E T
A 2V DD
A 2V S S N
A 2V S S Q
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V SS
V D DC
V D DC
V D DC
V D DC
V D DC
V D DC
V D DC
V D DC
V D DC
V DD R 1
V DD R 1
V DD R 1
V DD R 1
V DD R 1
V DD R 1
V DD R 2
V DD R 2
V DD R 2
V DD R 2
V DD R 2
V DD R 3
V DD R 3
V DD R 3
GIOC LA MP
33(R)
V D DQ
V D DQ
V D DQ
V D DQ
V D DQ
C 506
22P (R)
ME M_RA S #
ME M_CA S #
ME M_WE #
ME M_C S 0#
24
ME M_C K E
J8
J15
L8
K8
H 15
N 15
M8
R 11
R4
J10
J11
K9
J12
K 10
K 11
K 12
L9
L10
L11
L12
M9
M10
M11
M12
G6
F7
F15
R7
T15
U8
T5
E 12
E9
J5
U 13
J9
R3
P3
T11
VCC3
R 439
ZV _HR E F
ZV _V S Y NC
ZV _P IXC LK
C 474
C 4880(0805)
C 473
C 475
10U(1206)
0.1U
0.1U
10U(1206)
18
18
18,20
S B A 7/IDS E L
V D DC
R 150
0
R 143
0(R)
V D DR
SB A 7
4,24
P IP E #
P V DD
4
TX V D DR
C 481
C 492
C 476
C 471
C 467
C 507
C 466
C 470
0.1U
0.01U
0.1U
0.01U
0.1U
0.01U
0.1U
0.01U
R 731
10K
VCC3
P C IR S T#
P C IR S T#
3,7,16,18
R 275
0
U 31A
1
3
R 276
510(R)
GR S T#
2
S N 74A C T86
R 277
1K (R)
R 750
0
U 31B
4
6
R 732
510(R)
P C IR S T_1#
5
S N 74A C T86
U 11
T10
H6
E 10
K5
L16
L15
R 10
K 15
V D DC
R 733
1K (R)
18,21
R 751
0
U 31C
10
8
R 734
510(R)
P C IR S T_2#
9
S N 74A C T86
R 735
5,8
1K (R)
V CC
U 31D
N5
P6
T7
T9
R9
R8
R 13
R 12
T12
T14
P 15
H5
E8
F6
R2
12
11
13
V D DR
C 551
S N 74A C T86
0.1U
V D DR
VCC3
R 425
GIOC LA MP
M16
T13
T16
T8
R5
C 472
C 468
0.1U
22U(1210)
0(1206)
C 24
C 26
0.1U
10U(1206)
V D DQ
V D DQ
C 478
C 505
0.1U
10U(1206) 0.1U
C 43
C 105
10U(1206)
R A GE MO B ILITY M3
E 19_V D DM
E X T_ME M_C LK OU24
T
E X T_MEM_C LK IN24
IN T_MEMC LK OU T24
IN T_ME MC LK IN 24
ME M_D S F
24
ME M_C S 1#
24
ME M_MA[11..0]
T
ME M_MD[63..0]
MEM_D QM#[7..0]
KAPOK
³Í³Õ¹q¸£
S i ze
Document Number
Cus tom
D ate:
6 – 24
ZV P ORT[15..0] 20
V PP
C S #1
ME M_DQM#7
ME M_DQM#6
ME M_DQM#5
ME M_DQM#4
ME M_DQM#3
ME M_DQM#2
ME M_DQM#1
ME M_DQM#0
24
24
24
24
ME M_MA 11
ME M_MA 10
ME M_MA 9
ME M_MA 8
ME M_MA 7
ME M_MA 6
ME M_MA 5
ME M_MA 4
ME M_MA 3
ME M_MA 2
ME M_MA 1
ME M_MA 0
24 ME M_MA[11..0]
ZV PO R T0
ZV PO R T1
ZV PO R T2
ZV PO R T3
ZV PO R T4
ZV PO R T5
ZV PO R T6
ZV PO R T7
ZV PO R T8
ZV PO R T9
ZV PO R T10
ZV PO R T11
ZV PO R T12
ZV PO R T13
ZV PO R T14
ZV PO R T15
ZV _HR E F
ZV _V S Y NC
ZV _P IXC LK
V D DQ
ME M_MD 63
ME M_MD 62
ME M_MD 61
ME M_MD 60
ME M_MD 59
ME M_MD 58
ME M_MD 57
ME M_MD 56
ME M_MD 55
ME M_MD 54
ME M_MD 53
ME M_MD 52
ME M_MD 51
ME M_MD 50
ME M_MD 49
ME M_MD 48
ME M_MD 47
ME M_MD 46
ME M_MD 45
ME M_MD 44
ME M_MD 43
ME M_MD 42
ME M_MD 41
ME M_MD 40
ME M_MD 39
ME M_MD 38
ME M_MD 37
ME M_MD 36
ME M_MD 35
ME M_MD 34
ME M_MD 33
ME M_MD 32
ME M_MD 31
ME M_MD 30
ME M_MD 29
ME M_MD 28
ME M_MD 27
ME M_MD 26
ME M_MD 25
ME M_MD 24
ME M_MD 23
ME M_MD 22
ME M_MD 21
ME M_MD 20
ME M_MD 19
ME M_MD 18
ME M_MD 17
ME M_MD 16
ME M_MD 15
ME M_MD 14
ME M_MD 13
ME M_MD 12
ME M_MD 11
ME M_MD 10
ME M_MD 9
ME M_MD 8
ME M_MD 7
ME M_MD 6
ME M_MD 5
ME M_MD 4
ME M_MD 3
ME M_MD 2
ME M_MD 1
ME M_MD 0
24 ME M_MD[63..0]
24 MEM_D QM#[7..0]
D 11
D 10
C 10
B 10
A 10
D9
C9
B9
A9
D8
C8
B8
A8
E7
D7
C7
B7
A7
E6
100K
X TA LIN
X TALOU T
W2
F5
Nea r
DIGON
B LON #
ZV PO R T0
ZV PO R T1
ZV PO R T2
ZV PO R T3
ZV PO R T4
ZV PO R T5
ZV PO R T6
ZV PO R T7
ZV PO R T8
ZV PO R T9
ZV PO R T10
ZV PO R T11
ZV PO R T12
ZV PO R T13
ZV PO R T14
ZV PO R T15
ZV PO R T16
ZV PO R T17
ZV PO R T18
E 19
V D DM
M15
V D DM
R 14
V D DM
R 15
V D DM
R6
V D DM
P5
V D DM
P 16
V D DM
25,26
25,26
25,26
25
24,25
4
ZV P ORT[15..0]
U6
MC K IN 0
V6
MC K 0
V4
MC K IN 1
U4
MC K 1
25,26
25,26
25
E NA V DD
B LON #
WE#
C S #0
C S #1
CKE
R O MC S #
DS F
25
25
LTGIO 0
LTGIO 1
LTGIO 2
W4
W3
Y4
Y5
W5
V5
R 359
R 342
R 329
U5
RA S #
Y6
CA S #
LTGIO 0
LTGIO 1
LTGIO 2
RAGE MOBLITY M3
V9
DQM#0
U9
DQM#1
Y 10
DQM#2
W 10
DQM#3
V 10
DQM#4
U 10
DQM#5
V 11
DQM#6
W 11
DQM#7
25
25
25
PCI/A GP BUS INTERFA CE
MA 0
MA 1
MA 2
MA 3
MA 4
MA 5
MA 6
MA 7
MA 8
MA 9
MA 10
MA 11
LTGIO V 0
TX V D DR
TX V D DR
TP V S S
TX V S S R
TX V S S R
TP V DD
TX V S S R
TX 0N
TX 0P
TX 1N
TX 1P
TX 2N
TX 2P
TX CN
TX CP
AGP2X
T6
Y7
W7
V7
U7
Y8
W8
V8
Y9
W9
W6
U2
20
T
T
T
T
T
T
T
T
D5
C4
B6
A1
C5
C6
D6
A4
B4
A3
B3
A2
B2
A5
B5
TX OU T_L0N
TX OU T_L0P
TX OU T_L1N
TX OU T_L1P
TX OU T_L2N
TX OU T_L2P
TX OU T_L3N
TX OU T_L3P
TX CLK _LN
TX CLK _LP
TX OUT_U 0N
TX OUT_U 0P
TX OUT_U 1N
TX OUT_U 1P
TX OUT_U 2N
TX OUT_U 2P
TX OUT_U 3N
TX OUT_U 3P
TX C LK _UN
TX C LK _UP
LV D DR
LP V DD
LP V S S
LV S S R
LV S S R
DAC2
P [0..19]
4,24
S T[2..0]
U 13
ZV PORT
T
25
S B A[6..0]
V PP
CORE I/O & MEMORY POWER
10K (R)
10K (R)
FLA T PA NEL INTERFA CE
R 756
R 757
R 758
LTGIO 0
LTGIO 1
LTGIO 2
PCI/AGP
CRT/TV OUT
T
T
T
10K (R)T
Y2
S TA N DB Y #
Y3
S US P EN D #
H 19
C LKR U N/S B S TB #
M2GP IO3 T
M2GP IO4
M2GP IO0
M2GP IO1
M2GP IO2
M2GP IO3
M2GP IO4
M2GP IO5
M2GP IO6
M2GP IO7
M2GP IO8
M2GP IO9
M2GP IO10
M2GP IO11
M2GP IO12
GPIO
M2GP IO3
M2GP IO4
A6
E5
L4
M1
M2
M3
R1
T1
T2
U3
V1
V2
W1
LVDS
25
25
M2GP IO0
M2GP IO1
T MDS
M2GP IO0
M2GP IO1
24
24
4
4
4
4,24
P V DD
DAC1
25
25
25
20
20
S B A 7/IDS E L
S T[2..0]
S TP _A GP #
A GP _B US Y #
R B F#
A D_S TB 0
A D_S TB 1
S B _S TB
S T2
S T1
S T0
4
GCLK O
7,14,16,18,21 C LK RU N #
24
S US P EN D #
24
S TA N DB Y #
S B A[6..0]
SB A 6
SB A 5
SB A 4
SB A 3
SB A 2
SB A 1
SB A 0
INTR D #
GFR A ME #
GIRD Y #
GTRD Y #
GD E V S E L#
GS TO P #
GP AR
GGN T#
GRE Q #
GB E #3
GB E #2
GB E #1
GB E #0
7,18
4
4
4
4
4
4
4
4
GA D 31
GA D 30
GA D 29
GA D 28
GA D 27
GA D 26
GA D 25
GA D 24
GA D 23
GA D 22
GA D 21
GA D 20
GA D 19
GA D 18
GA D 17
GA D 16
GA D 15
GA D 14
GA D 13
GA D 12
GA D 11
GA D 10
GA D 9
GA D 8
GA D 7
GA D 6
GA D 5
GA D 4
GA D 3
GA D 2
GA D 1
GA D 0
4
CLKS
4
R ev
2.0A
VGA MOBILITY M3
Fri day, October 20, 2000
S heet
23
of
37
Part 6: Schematics
ME M_MD[63..0]
ME M_MD[63..0]
23
S GMD[63..0]
S GMD[63..0]
S GMD[63..0]
S GMA [11..0]
SGRAM
S GMD[63..0]
MEMORY DATA
S GMA [11..0]
MEMORY ADDRESS
U11
MA X
MA Y
S GMA7
S GMA6
S GMA5
S GMA4
S GMA3
S GMA2
S GMA1
S GMA0
V CC3
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
8P 4R-0
S GMD1
S GMD3
S GMD2
S GMD0
S GMD5
S GMD6
S GMD7
S GMD4
S GMD8
S GMD9
SGMD10
SGMD11
SGMD12
SGMD13
SGMD14
SGMD15
SGMD16
SGMD17
SGMD18
SGMD19
SGMD20
SGMD21
SGMD22
SGMD23
SGMD27
SGMD25
SGMD26
SGMD24
SGMD31
SGMD29
SGMD30
SGMD28
SGMD32
SGMD33
SGMD34
SGMD35
SGMD39
SGMD36
SGMD37
SGMD38
SGMD41
SGMD42
SGMD43
SGMD40
SGMD44
SGMD45
SGMD46
SGMD47
SGMD48
SGMD49
SGMD51
SGMD50
SGMD54
SGMD55
SGMD52
SGMD53
SGMD56
SGMD57
SGMD58
SGMD59
SGMD60
SGMD61
SGMD62
SGMD63
MCH
MCH
4,23
S B A [6..0]
S B A7
SB _S TB
23
S TA NDB Y#
23
23
23
S TP_A GP#
SUS P E ND#
A GP _B US Y#
2K
R167
R107
R94
R165
S GMA8
S GMA9
SGMA 10
SGMA 11
0
0
0
0
R185
R183
R174
R177
R458
R166
R168
R154
R184
10K
10K
10K
10K
10K
10K
10K
10K
10K
R102
10K
R161
R101
R157
20K
20K
20K
V CC3
V CC3
MA W
C218
C500
4.7U(1206)
0.1U
C51
C501
C514
10U(1206)
0.1U
0.1U
CS 1#
MCH
MAZ
CK E
23 ME M_MA [11..0]
ME M_MA [11..0]
V CC3
MEM_MA0
MEM_MA1
MEM_MA2
MEM_MA3
MEM_MA4
MEM_MA5
MEM_MA6
MEM_MA8
MEM_MA9
ME M_MA 10
ME M_MA 11
23,25
R426
R423
R419
R413
R427
R424
R420
R449
R457
R429
R397
R398
V S YNC
CS0
1.CHANGE ID
2.AGP 1X CLOCK FEEDBACK
PHASE ADJUSTMENT WRT REFCLK
3.AGP CLOCK PHASE ADJUSTMENT
BETWEEN X1 AND X2 CLOCK
4.ID_DISABLE
5.BUS_TYPE
6.INTERRUPT
7.IDSEL ADDRESS SELECT
8.VCO GAIN FOR AGP PLL
10K (R)
10K (R)
10K (R)
10K (R)
10K (R)
10K (R)
10K (R)
10K (R)
10K (R)
10K
10K (R)
10K (R)
RA S#
CA S#
WE#
CK E
54
CS0
28
RA S#
27
CA S#
26
WE#
25
DQM#3
57
DQM#2
24
DQM#1
56
DQM#0
23
R106
R110
R410
R116
R100
R103
R115
MEM_DSF
ME M_CK E
ME M_RA S#
ME M_CA S#
ME M_WE#
ME M_CS 0#
ME M_CS 1#
33
33
33
33
33
33
33(R)
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
VCC
VCC
VCC
VCC
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
VS S
VS S
VS S
VS S
CK E
CS
RA S
CA S
WE
DQM3
DQM2
DQM1
DQM0
55
DSF
DSF
CK E
RA S#
CA S#
WE#
CS0
CS 1#
DQ31
DQ30
DQ29
DQ28
DQ27
DQ26
DQ25
DQ24
DQ23
DQ22
DQ21
DQ20
DQ19
DQ18
DQ17
DQ16
DQ15
DQ14
DQ13
DQ12
DQ11
DQ10
DQ9
DQ8
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC/MA8
9.BUS CLOCL SELECT
MCK
23
23
23
23
23
23
23
U17
A 9/A 10
A 8/A9
A7
A6
A5
A4
A3
A2
A1
A0
36
37
38
39
40
41
42
43
44
45
86
87
88
89
90
91
92
93
94
95
52
58
30
S B A [6..0]
S B A0
S B A1
S B A2
S B A3
S B A4
S B A5
S B A6
4,23
4,23
R114
MA Y
MAZ
MA W
MA X
MA Y
MAZ
MA W
MA X
1
8P 4R-0
2
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
1
RN23 1
2
3
4
RN24 1
2
3
4
RN25 1
2
3
4
RN26 1
2
3
4
RN27 1
2
3
4
RN28 1
2
3
4
RN30 1
2
3
4
RN31 1
2
3
4
RN33 1
2
3
4
RN113 1
2
3
4
RN34 1
2
3
4
RN114 1
2
3
4
RN115 1
2
3
4
RN35 1
2
3
4
RN32 1
2
3
4
RN116 1
2
3
4
2
MEM_MD1
MEM_MD3
MEM_MD2
MEM_MD0
MEM_MD5
MEM_MD6
MEM_MD7
MEM_MD4
MEM_MD8
MEM_MD9
ME M_MD10
ME M_MD11
ME M_MD12
ME M_MD13
ME M_MD14
ME M_MD15
ME M_MD16
ME M_MD17
ME M_MD18
ME M_MD19
ME M_MD20
ME M_MD21
ME M_MD22
ME M_MD23
ME M_MD27
ME M_MD25
ME M_MD26
ME M_MD24
ME M_MD31
ME M_MD29
ME M_MD30
ME M_MD28
ME M_MD32
ME M_MD33
ME M_MD34
ME M_MD35
ME M_MD39
ME M_MD36
ME M_MD37
ME M_MD38
ME M_MD41
ME M_MD42
ME M_MD43
ME M_MD40
ME M_MD44
ME M_MD45
ME M_MD46
ME M_MD47
ME M_MD48
ME M_MD49
ME M_MD51
ME M_MD50
ME M_MD54
ME M_MD55
ME M_MD52
ME M_MD53
ME M_MD56
ME M_MD57
ME M_MD58
ME M_MD59
ME M_MD60
ME M_MD61
ME M_MD62
ME M_MD63
29
51
50
49
48
47
34
33
32
31
CLK
53
DSF
84
83
81
80
78
77
75
74
21
20
18
17
13
12
10
9
72
71
69
68
64
63
61
60
7
6
4
3
1
100
98
97
2
8
14
22
59
67
73
79
15
35
65
96
5
11
19
62
70
76
82
99
16
46
66
85
SGMD31
SGMD30
SGMD29
SGMD28
SGMD27
SGMD26
SGMD25
SGMD24
SGMD23
SGMD22
SGMD21
SGMD20
SGMD19
SGMD18
SGMD17
SGMD16
SGMD15
SGMD14
SGMD13
SGMD12
SGMD11
SGMD10
S GMD9
S GMD8
S GMD7
S GMD6
S GMD5
S GMD4
S GMD3
S GMD2
S GMD1
S GMD0
MA X
MA Y
S GMA7
S GMA6
S GMA5
S GMA4
S GMA3
S GMA2
S GMA1
S GMA0
MA W
CS 1#
MCH
MAZ
V CC3
C743
C744
29
51
50
49
48
47
34
33
32
31
36
37
38
39
40
41
42
43
44
45
86
87
88
89
90
91
92
93
94
95
52
58
30
CK E
54
CS0
28
RA S#
27
CA S#
26
WE#
25
DQM#7
57
DQM#6
24
DQM#5
56
DQM#4
23
MCK
55
DSF
53
10U(1206) 0.1U
1MX 32
A 9/A 10
A 8/A9
A7
A6
A5
A4
A3
A2
A1
A0
DQ31
DQ30
DQ29
DQ28
DQ27
DQ26
DQ25
DQ24
DQ23
DQ22
DQ21
DQ20
DQ19
DQ18
DQ17
DQ16
DQ15
DQ14
DQ13
DQ12
DQ11
DQ10
DQ9
DQ8
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC/MA8
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
V CCQ
VCC
VCC
VCC
VCC
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
V SS Q
VS S
VS S
VS S
VS S
CK E
CS
RA S
CA S
WE
DQM3
DQM2
DQM1
DQM0
CLK
DSF
84
83
81
80
78
77
75
74
21
20
18
17
13
12
10
9
72
71
69
68
64
63
61
60
7
6
4
3
1
100
98
97
2
8
14
22
59
67
73
79
15
35
65
96
5
11
19
62
70
76
82
99
16
46
66
85
SGMD63
SGMD62
SGMD61
SGMD60
SGMD59
SGMD58
SGMD57
SGMD56
SGMD55
SGMD54
SGMD53
SGMD52
SGMD51
SGMD50
SGMD49
SGMD48
SGMD47
SGMD46
SGMD45
SGMD44
SGMD43
SGMD42
SGMD41
SGMD40
SGMD39
SGMD38
SGMD37
SGMD36
SGMD35
SGMD34
SGMD33
SGMD32
V CC3
C745
C746
10U(1206)
0.1U
1MX 32
DQM#[7..0]
DQM#[7..0]
V CC3
C138
0.1U
C155
0.1U
C199
0.1U
C182
0.1U
C139
0.01U
C140
0.01U
C144
0.01U
C184
0.01U
V CC3
V CC3
V CC3
V CC3
For external memory install R538,R539,R541,R536,R542
ADD DECOUPLING CAPS AS REQUIRED
ADD DECOUPLING CAPS AS REQUIRED
R392
150
R401
33
23 E X T_ME M_CLK IN
23 E XT_ME M_CLK OUT
23 INT_ME MCLK OUT
23 INT_ME MCLK IN
R400
R405
33
33(R)
MCK
R406
33
R409
100
Near to the RAGEMOBILITY M3
V CC3
DQM#[7..0]
Y2
DQM#[7..0]
1
8 8P 4R-33 DQM#2
7
DQM#3
6
DQM#0
5
DQM#1
8 8P 4R-33 DQM#7
7
DQM#6
6
DQM#4
5
DQM#5
2
P VDD
U6
MIC29152B U
VCC
A DD DE COUP LING CAP S
40MIL
A S RE QUIRE D
P VDD
1
C78
22U(1210)
S GMA [11..0]
R378
680(R)
C83
0.1U
0(0805)
V DDC
R96
0(0805)
R97
0(0805)
TX V DDR
R98
0(0805)
AV D
27.0000MHZ-OS C
OUT
23
4
X TALIN
R86
C741
2
IN
3
R373
A S2431(R)
P VDD
R386
1M(R)
Y1
C446
22P (R)
27.0000MHz(R)
51.0K
C79
22U(1210)
D24
1 Z179
E NA B LE
C82
0.01U
2
23
8 8P 4R-33 S GMA0
7
S GMA1
6
S GMA2
5
S GMA3
8 8P 4R-33 S GMA4
7
S GMA5
6
S GMA6
5
S GMA7
33
S GMA8
S GMA9
33
SGMA 10
33
SGMA 11
33
S GMA [11..0]
3
ME M_MA [11..0]
3
C456
0.01U
R95
+2.5V REGULATOR
R379
MEM_MA0 RN16 1
MEM_MA1
2
MEM_MA2
3
MEM_MA3
4
MEM_MA4 RN17 1
MEM_MA5
2
MEM_MA6
3
MEM_MA7
4
MEM_MA8
R455
MEM_MA9
R461
ME M_MA 10
R436
ME M_MA 11
R104
MHz
VCC
40MIL
33(1206)(R)
ME M_MA [11..0]
4
1
RN19 1
2
3
4
RN20 1
2
3
4
23
A DJ
5
0.1U(R)
R85
1.5A
51.0K
+2.5V
RE GULA TOR
C742
0.1U(R)
23
X TA LOUT
2
ME M_DQM#2
ME M_DQM#3
ME M_DQM#0
ME M_DQM#1
ME M_DQM#7
ME M_DQM#6
ME M_DQM#4
ME M_DQM#5
MEM_DQM#[7..0]
GND
MEM_DQM#[7..0]
C455
22P (R)
C103
22U(1210)
V DDC
P VDD
AV D
2K (R)
C728
10U(1206)
C729
0.1U
C730
10U(1206)
C731
0.1U
C734
10U(1206)
C735
0.1U
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
Rev
2.0A
SGRAM
Friday, October 20, 2000
S heet
24
of
37
6 – 25
Service Manual
V CC
L27
V CC
V CC
R23
75(1% )
82P
1
2
1
C386
2
COMP
FCM2012V -301
R24
C402
75(1% )
82P
1
C387
3
82P
S LD
GND
S LD
GND
S LD
LUMA
S LD
7
8
SV IDE O_CON
23,26 LUMA
FCM2012V -301
R25
C403
C388
75(1% )
82P
82P
D4
DA221(R)
D5
DA221(R)
6
TVA GND
L29
D3
DA221(R)
5
3
CRMA
3
4
L28
3
CN23
82P
TVA GND
23
2
2
FCM2012V -301
C401
1
23,26 CRMA
26
DDCDA
26
DDCLK
23,26
RED
23,26
GRE E N
23,26
BLUE
DDCDA
L24
FCM2012V -121
DDCLK
L20
FCM2012V -121
RED
L26
FCM2012V -121
GRE E N
L25
FCM2012V -121
BLUE
L23
FCM2012V -121
DHS Y NC
L22
FCM2012V -121
DVS Y NC
L21
FCM2012V -121
R326 100
CN21
R325 100
19
1
9
2
10
3
11
4
12
5
13
6
14
7
15
8
TVA GND
TVA GND
L113
26
DHS Y NC
26
DVS Y NC
R334 R333 R332
B K2125HS 601
75
75
C405
C398
C404
C399C397
C367
C366
C369
C368
C370
10P 10P 10P
33P 33P
33P 33P
5P 5P 5P
75
18
CRT DB15
TVA GND
VCC3
CN1
27
27,31
27
R739 10K
20P
C705
0.1U
A CIN
A CIN
B ATCHA
B ATCHA E NA BKK L
E NA BKK L
L4
B+
0(1206)
C694
0.1U
LCDV DD
V CC
LCDV DD
P P2
P P3
Q5
6
C15
+
VCC3
MICGND
B ATON
B AT_B EE P
B ATFULL
B RIGA DJ
B ATON
B AT_B EE P
B ATFULL
B RIGA DJ
C12
+
C22
5
D1
G1
D2
S2
D2
G2
1
E NA VDD#
2
4
C69
C
+
E
C48
C108
4.7U(1206)
0.1U
C44
C586
10U(1206)
0.1U
C331
C71
10U(1206)
Q26
B
E NA V DD
E NA V DD
0.1U
23
DTD114E K
0.1U
10U(1206)
27
15,27
7,27,31
13
C52
3
9934
NDS 9953A
(S O8)
0.1U
22U(1210)(R)
S1
1
7
P P14
P P15
D1
2
8
1
LCDV DD
P P10
P P11
P P14
P P15
V CC
R365
10K
LCDV DD
P P8
P P9
P P10
P P11
1
P P8
P P9
2
P P2
P P3
2
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
1
P P18
P P19
LTGIO0
LTGIO1
LTGIO2
INTMIC
LID
LTGIO0
LTGIO1
LTGIO2
INTMIC
LID
VDD3
P P12
P P13
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
2
23
23
23
21
7
P P4
P P5
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
1
P P12
P P13
P P18
P P19
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
P P0
P P1
1
P P4
P P5
LCDV DD
2
P P0
P P1
2
LCDV DD
10U(1206)
V CC
R348
33 S
Q3
2N7002
D
R347
33 S
D
G
CON50
23
HSY NC
23,24
V SY NC
DHS Y NC
DVS Y NC
DHS Y NC
26
DVS Y NC
26
G
V CCV CC
Q2
2N7002
V CC
V CC
P [0..19]
EVEN
PIXELS
P0
L80
P1
L79
P2
L41
TXOUTL0-
P 10
L37
P P1
TXOUTL0+
P 11
L36
P P2
TXOUTL1-
P 12
L76
L78
P5
L77
P6
L88
P P3
TXOUTL1+
P 13
L75
P P4
TXOUTL2-
P 14
L35
P P5
TXOUTL2+
P 15
L34
P P6
TXOUTL3-
P 16
L86
L39
L38
P P7
TXOUTL3+
P 17
L85
P P8
TXCLKOUTL-
P 18
L74
P P9
TXCLKOUTL+
P 19
L73
R(0603)
C453
R(0603)
R(0603)
C420
C63
C396
C434
C394
C442
C432
R(0603)
R(0603) R(0603) R(0603) R(0603) R(0603)
C60
C417
R(0603)
R(0603)
C418
C452
R(0603)
D
DDCLK
DDCDA
26
DDCLK
26
G
Q1
2N7002
P P14 TXOUTU2P P15 TXOUTU2+
V CC
P P16 TXOUTU3P P17 TXOUTU3+
P P18 TXCLKOUTUVCC3
P P19 TXCLKOUTU+
B K1608HS 220
C62
R(0603)
R(0603)
S
P P13 TXOUTU1+
C58
C451
R(0603)
R(0603)
C415
C430
C392
C428
C440
R(0603)
R(0603) R(0603) R(0603) R(0603) R(0603)
R92
47K
C390
Q6
R(0603)
23
R(0603)
DDCDA
33
B K1608HS 220
B K160HS 220
C419
M2GP IO4
B K1608HS 220
B K1608HS 220
C64
23
B K1608HS 220
B K1608HS 220
C421
Q4
2N7002
D
B K1608HS 220
B K1608HS 220
L87
S
B K1608HS 220
B K1608HS 220
P9
M2GP IO3
B K1608HS 220
B K1608HS 229
P8
33
R350
23
R346
P P12 TXOUTU1-
B K1608HS 220
B K1608HS 220
P7
P P11 TXOUTU0+
B K1608HS 220
B K1608HS 220
L40
R345
2K
P P10 TXOUTU0B K1608HS 220
B K1608HS 220
P4
R349
2K
23
G
P P0
B K1608HS 220
P3
P [0..19]
ODD PIXELS
C61
C395
R(0603)
R(0603) R(0603) R(0603) R(0603) R(0603)
C433
C393
C441
C431
C59
C416
R(0603)
C57
C450
R(0603)
R(0603)
C414
C429
R(0603)
R(0603) R(0603) R(0603) R(0603) R(0603)
C391
C427
C439
B LON#
B LON#
E NA V EE
E NA V EE
C
DTD114E K
E
B
27
C389
R(0603)
KAPOK
³Í³Õ¹q ¸£
S i ze
Document Number
Custom
Date:
6 – 26
Rev
2.0A
TV&CRT&LVDS
Fri day, October 20, 2000
S heet
25
of
37
Part 6: Schematics
H30
HO LEC315D126N-V8
H26
HO LEC315D126N-V8
H33
HO LEC315D126N-V8
H21
HO LEC315D110N-V8
1
1
1
1
1
1
H14
HO LEC315D110N-V8
1
H19
HO LEC315D126N-V8
H7
HO LEC315D110N-V8
CN27
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
14,15
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
PST B#
PSLIN#
PSLCT
PINIT #
PAT FD#
PACK#
PBUSY
PPE
PPERR#
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
PST B#
PSLIN#
PSLCT
PINIT #
PAT FD#
PACK#
PBUSY
PPE
PPERR#
FB0
FB0 => Use wide trace
21
21
T XD
RXD
T XD
RXD
C671
C672
1000P
1000P
1
1
1
1
1
1
1
1
H1
HO LEC315D126N-V8
1
1
H2
HO LEC315D126N-V8
A+ +
A++ => Use wide trace
SUSB#
EKDA
EKCLK
EMDA
EMCLK
GD4
GD5
GD6
GD7
GD0
GD1
GD2
GD3
SUSB#
7
EKDA
EKCLK
13
13
EMDA
EMCLK
VCC
13
13
GD4
GD5
GD6
GD7
21
21
21
21
GD0
GD1
GD2
GD3
21
21
21
21
H36
HO LEC315D110N-V8
1
H25
HO LEC315D315N
H3
HOLEC59D59N
H17
HO LEC315D315N
H4
HOLEC59D59N
H8
HO LEC315D315N
H32
HOLEC59D59N
H10
HO LEC394D394N
H27
PADC157
CN20:121-122 PIN->DOCK_GND
H22
HO LEC236D110N-V8
1
14
14
14
14
14
H24
HO LEC236D110N-V8
1
DSR2#
RI2#
CT S2#
SIN2
DCD2#
H35
HO LEC315D110N-V8
H44
HO LEC236D110N-V8
H23
HO LEC315D315N
H16
HO LEC315D315N
1
A+ +
A++ => Use wide trace
14
14
14
15
H37
HO LEC236D110N-V8
H38
HO LEC236D110N-V8
1
DDCDA
DDCLK
DSR2#
RI2#
CT S2#
SIN2
DCD2#
SO UT 2
RT S2#
DT R2#
COM2RI
1
DDCDA
DDCLK
DHSY NC
CCO => Use wide trace
SO UT 2
RT S2#
DT R2#
COM2RI
1
25
25
DVSY NC
CCO
1
DHSY NC
H6
HO LEC315D110N-V8
1
DVSY NC
25
RED
BLUE
GREEN
H34
HO LEC315D205N-V8
H28
PADC157
H11
PADC157
1
25
VIDEO_IN
H5
HO LEC315D110N-V8
1
RED
BLUE
GREEN
CRMA
1
23,25
23,25
23,25
VIDEO_IN
15
15
15
1
20
LUMA
SINA
DSRA
DCDA
H29
HO LEC315D205N-V8
1
CRMA
DCDA
H15
HO LEC315D110N-V8
PORT _CO N_120PIN
H12
PADC118
H13
PADC118
1
LUMA
23,25
S INA
DS RA
H18
HO LEC315D110N-V8
H46
HO LEC315D110N-V8
H20
HO LEC315D205N-V8
1
23,25
USBP0-
15
15
15
15
15
H31
HO LEC315D110N-V8
1
USBP0VCC
RIA
DT RA
CT SA
SOUT A
RT SA
1
8
USBP0+
RIA
DT RA
CT SA
SOU T A
RT SA
1
USBP0+
USBP1-
H9
HO LEC236D110N-V8
1
8
USBP1+
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
1
USBP1-
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
1
USBP1+
8
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
1
8
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
KAPOK
³Í³Õ¹q¸£
Size
Document Number
Custom
Date:
Rev
0
PORT REPLICATOR
Friday, October 20, 2000
Sheet
26
of
37
6 – 27
Service Manual
V CC3
U826
1
2
B AT
5
IN
3
GND
OUT
4
14
B A K CTL#
B A K CTL#
VCC
TC7S O4F
25
1
2
E NA VE E
E NA VE E
4
5
V CC3
B E E P_E N#
B A TLOW#
13
B E E P_E N#
13,31 B A TLOW#
R746
V CC3
R743
R745
10K
9
10
0(R)
10K
12
13
C465
C457
0.1U
0.1U
U10
1A
2A
VCC
7
N.C.
F5
AY
1B
2B
BY
1C
2C
CY
1D
2D
DY
3
E NA B K KL
E NA B K KL
25
BT_B E E P#
8,15
5A
6
8
C601
BT_B E E P#
1U(0805)
11
74LV 08
CN33
8
7
6
5
4
3
2
1
Z476
BA T_DA TA
B A T_CLK
TEMP
BA T_DA TA
B A T_CLK
TEMP
11
11
31
CON8
V CC3
V CC3
R545
10K
R597
7,18,22
S US _ST2#
S US _ST2#
B
BID0
K B V3
SUS P END
SUS P END
12
13
C
Q54
E
DTD114E K
R598
B
B AT
47K
G
BID0
100K
C
Q41
E
DTD114E K
S
Q40
D NDS 0610
B+
R543
S US_LE D
220
R361
R356
R368
R364
47 0 ( 0 8 0 5) (R )
4 7 0 ( 0 80 5 ) ( 47
R )0) ( 0 8 0 5) (R )
47 0 ( 0 8 0 5) (R )
V DD3
U44
LT1121-3.3(R)
VCC
12
TURN_ON#
9
HD_LE D
25,31
BA TCHA
7,25,31 BA TFULL
25
A CIN
15,25 B A T_B EE P
25
B A TON
1
2
3
4
5
6
7
8
9
10
TURN_ON#
S US_LE D
HD_LE D
BA TCHA
BA TFULL
A CIN
B A T_B EE P
B A TON
CN17
L65
3
CCO
A
B
C
D
E
F
G
H
I
J
5
6
7
CN8
100K (R)
4.7U(1206)(R)
3
4
L66
4
FB0
0(1206)
J3216HS 480NT
L1
1
C343
C344
1
2
9
0.1U (R)
8
R372
C443
2
C435
5
6
7
3
2
AD
J3216HS 480NT
CON2X2
0.1U (K % )
0.1U
C3
9
VO
8
VI
GND
1
0.1U (K % )
C348
0.1U (K % )
C5
C354
0.1U
0.1U
CON 10
A ++
R520
A ++
VCC
B+
470
AD
D22
F3
A1
R501
R502
R503
5.1K
5.1K
5.1K
5.1K
C Q38
R519
B
E
2N3904
10K
A2
5A
C11
C
R500
60QC04(TO-252)
C422
C14
0.1U(0805)
C13
100UF/25V(DIP6.3*7)
10U/50V(1812)
0.1U(0805)
VCC
R517
47K
C Q37
Z532
E Q35
R509
B
B
A ++
E
C
2N3906
10K
2N3904
R510
A CIN
A CIN
25
B A TON
B A TON
25
10K
KAPOK
³Í³Õ¹q¸£
S ize
Document Number
Custom
Date:
6 – 28
Rev
2.0A
POWER CONNECT
Friday, October 20, 2000
S heet
27
of
37
Part 6: Schematics
VCC3
SPARE
+
C213
47UF/6.3V(D)
Z748
N1
N2
N4
N3
4
U22
3
4
Z746
PDRV
VFB
GND
RUN/SS
SYNC
7
1
5
L47
4.7UH(SDS1005-4R7M)
Z742
R468
R469
Z744
R518
R
C536
C508
103(0603 K)
D15
C538
C
102(0603 K)
R
237K/F
F1AJ3
R478
270K/F
A
C
D
104(0805 K)
C
C541
C
U19
SI3443(TSOP-6)
C215
6
E
B
Z747
S
3 G
LTC1622 (MSOP8)
R516
R
C517
C(0603 K)
C540
220P(0603 K)
Z740
S3
S3
NOTE: VCCT/2.5A
VCC3
VCC
VTT+
R196
R(1206)
R188
0(1206)
U18
MIC29152BU(TO-263-5)
2
1
+
VI
ON/OFF
VTT
N5
N6
+
VO
GND
PWR_ON
R523
10K(0603 J)
ITH
8
ADJ
4
C157
R486
100K
R493
R
5
C499
2.2UF/25V(1206)
47UF/6.3V(D)
J3
C189
1
3
7,12,13,29,31
Q36
2N3906
VIN
2
5
6
SENSEN-
2
Z743
1
Z745
R524
10K(0603 J)
VTT
VTT+
R198
14m(1206)
47UF/6.3V(D)
R497
402K
2
C530
R508
R
C
8500V
SHORT
S3
Size
Custom
Document Number
Date:
Friday, October 20, 2000
Rev
1.0A
VTT
Sheet
28
of
37
6 – 29
Service Manual
F1
5A/FUSE
Z710
B+
VCC_CORE
VCC_CORE+
INTVCC2
VCC3
R477
R
N8
N7
N11
N10
N9
Z689
C696
0.1U(0805)
R476
1
Z692
C516
102/K
Z763
S2 VCC
17
R492
4
0
15
14
S2
13
INTVCC2
12
C176
11
220P
5
J2
1
J1
2
1
R683
2
R
VIN
PGOOD
RUN/SS
INTVcc
IT H
VIDVcc
Cosc
BOOST
EXTVcc
TG
FCB
SW
B4
B3
BG
SENSE+
B2
SENSE-
B1
VOSENSE
B0
VFB
SGND
PGND
GCL_VGAT E
20
A
C
D11
F1J4
16
1,12
Q9
SI4884(S0-8)
C177
4
ZD1
C162
3.6V(LL-34)
330UF/4V(D NEO)
C160
C152
C498
330UF/4V(D NEO)
2.2UF/25V(1206)
330UF/4V(D NEO)
C163
C167
330UF/4V(D NEO)
104(0603 K)
104/K
23
Z697
L94
24
R172
R153
CH1.5UH
1
10m (2512)
22
Z699
19
R482
R164
D8
1
8
Z701
C187
102/K
7
10m (1206)
+
F1AJ3
Z702
+
+
C161
330UF/4V(D NEO)
18
C197
C
5
5
Z694
Z703
P2
4
4
R170
R169
0
0
C518
R
R194
C
R481
C181
10K
C
C196
392K
100P/X7R
S2
Q8
S2
INTVCC2
1
2
3
R684
1
2
3
S2
C736
330UF/4V(D NEO)
+
9
SHORT
P2
+
10
LTC1736CG(SSOP24)
SHORT
5
Q7
SI4884(S0-8)
4
C
3
47P/K
200K
5
1
2
3
2
Z691
10K
R767
C
6
C
Z690
C173
C
C
P2
A
B
PWR_ON
21
6
7
8
7,12,13,28,31
U16
P2
S2
VIN
E
C634
10K
1
2
3
0
R722 R
Q33
2N3906
C633 R195
2.2UF/25V(1206)
Z709
C183
2.2UF/25V(1206)
6
7
8
0
R721
R472
C95
104(0805 K)
C192
R
6
7
8
R700
A
C695
4.7UF(1206)
6
7
8
C75
22UF/25V(DIP10x5 OSCON)
Q10
SI4874 (S0-8)
S2
SI4874 (S0-8)
R491
0
R504
C520
C
R
R343
R
R340
R
R330
R
R324
R
C523
C
S2
R327
S2
R131
R
VCC_SENSE
R371 R
2
0
VSS_SENSE
VID4
2
VID3
2
VID2
2
VID1
2
VID0
2
VID4
G
D
Q34
S
2N7002
J4
1
VCC_SENSE
2
VCC_CORE
SHORT
S2
R21
0
R19
R
R18
R
R16
R
NOTE: CORE/17A PK/19A
R17
R
S2
8500V
6 – 30
Size
Custom
Document Number
Date:
Friday, October 20, 2000
Rev
1.0A
POWER CORE
Sheet
29
of
37
Part 6: Schematics
CN 2 8
B+
V CC
V C C3
V 2. 5
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
B+
+12V
CN 3 2
7 ,25 ,2 7 B ATFU L L
7 ,1 2 S HU TD O WN
27
TE MP
A++
VIN
V CC
VC C 3
B ATFU L L
S H U T D O WN
TE MP
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
C ON 3 0
BA TC HA
B ATLO W #
BA TC HA
B ATLO W #
A++
P W R_ ON
25 ,2 7
13 ,2 7
7, 12, 13 ,28 ,2 9
BAT
VD D 3
PW R SW
12
C ON 8 0
CN A 2
B++
VC C +
V CC 3 +
+V2 .5 +
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
B++
CN A 1
+1 2V +
VC C +
BA TF U LL +
SH UTDO W N +
35 ,3 6
TEM P +
35 ,3 6
+A+ +
34
V IN +
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
B ATCH A +
BA TL OW # +
36
+A+ +
35 ,3 6
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
C ON -3 0
D D_ ON # +
B AT+
33 ,34 ,3 5
33 ,35 ,3 6
V CC 3 +
V DD 3 +
P W RS W +
34 ,3 5
C ON -8 0
Titl e
85 00V
S ize
A3
D ate :
D ocu m ent N um be r
C0 NN E CTOR
Fri day , Oc tob er 20 , 2 00 0
R ev
1 .0A
Sh ee t
31
of
37
6 – 31
Service Manual
D44
_BAT ON
2
1
_ACIN
4
3
S3
SML_020_MLT
CNC1
_TURN_ON#
_SUS_LED
_HD_LED
_BAT CHA
_BAT FULL
_ACIN
_BAT _BEEP
_BAT ON
_TURN_ON#
_SUS_LED
_HD_LED
_BAT CHA
_BAT FULL
_ACIN
_BAT _BEEP
_BAT ON
1
2
3
4
5
6
7
8
9
10
A
B
C
D
E
F
G
H
I
J
1
2
4 _TURN_ON#
3
SW_BUT T ON
D45
_BAT FULL
2
_BAT CHA
4
1
3
CON10
SML_020_MLT
_BAT _BEEP
D46
_HD_LED
1
2
H41
HOLE276D94
LED1
SML_010_MLT
D47
1
2
1
_SUS_LED
LED1
H42
HOLE276D94
1
SML_010_MLT
1
H43
HOLE276D94
KAPOK COMPUT ER CO.
CLEVO
T it le
LED_BOARD
Size
Document Number
Custom
Date:
6 – 32
Rev
A
LED_BOARD
Friday, October 20, 2000
Sheet
32
of
37
Part 6: Schematics
+12V+
VDD3+
QA27
2N7002
DA24
SI4800
F01J2E
CA63
0.1u
SI4410(S08)
RA13
VCC3+
+
CA60
1K
ZDA2
QA28
2N7002
C
1
TRA1
AS2431
ZD
A
G
CA14
+12V+
CA64
10U(1206)
D
DD_ON#+
RA17
750
100UF/25V
S
31,34,35
QA19
A
4
S
G
10K
QA26
1
2
3
D
RA101
VCC3+
+V2.5+
N22
N21
3
2
1
4
5
8
7
6
5
2
100K
V2.5+
3
100K
DA19 F01J2E
A
C
RA100
C
RA99
6
7
8
C
RA18
90.9K
1
31,35,36
2
BAT+
B++
3
CA93
C(0805)
DA6
EA60QC04(TO-252)
CA90
0.1U(0805)
CA91
0.1U(0805)
CA92
0.1U(0805)
8500V
Title
8500C POWER
Size
B
Document Number
Date:
Friday, October 20, 2000
Rev
1.0A
VCC3 & 2.5V
Sheet
33
of
37
6 – 33
Service Manual
31
VIN+
VCC+
+ VCC+
N15
N14
N13
N16
RA10
5R1(0805 J)
+12V++
+12V+
N12
FA1
Z65 9
CA62
S I99 45(S O-8)
14
Z53 7
13
CA48
C
CA52
C
RA71
INT V CC
RA 4
12
6
28
RA7
R
11
IT H2
S1
104
CA53
D
RA98
C
2
3
4
5
RA25
8 Z53 5 14m(12 06 J)
9
10
RA38
4
2
DA3
D
3
+
+ CA2
C(D)
+
LA1
10UH(1.42)
QA12
P1
CA4
EXT VCC
IT H1
3
1000P(0603 J)
RA2
RA1
0(06 03)
0(06 03)
VCC3+
Z68 2
RA59
107K(0603 F)
Z53 8
Z68 1
4
Z68 0
7
RA6
287K(06 03 F)
10
RA12
R
RA73
CA36
C
VDD3+
Z73 6
22
CA41
C
3 30P
1 00p(06 03 J)
RA58
Z67 8
8
20K /F
S1
S1
CA42
2200P (K)
RA9
0(0603 J)
Z67 7
CA43
C
RA72
S1
CA44
2200P(K)
2 0K(0603 F)
CA54
S1
RA16
1 00K
RA83
10K(0603 J)
RA2 3
R(06 03)
CA12
2.2UF/25V(1206 M)
S1
INT V CC
JA2
1
JA1
2
1
SHORT
S1
RA26
QA2
Q
B
Z67 3
Z67 4
C
QA1
2N3904
2
SHORT
S1
10K(0603)
Z67 2
SPARE
P1
RA19
10K(0603)
C
B
E
CA17
DD_ON+
E
D
1 02(060 3 K)
RA21
R
QA6
RA27
1 00K
CA13
1 02(060 3 K)
RA22
CA1 5
C
R
G2N7002
DD_ON# +
DD_ON#+
31,33,35
S
CA16
C
CA35
CA1
VCC+
0(06 03 J)
C
31
CA33
CA32 47UF/6.3V(D)
47UF/6.3V(D)
2 5m (12 06 J)
0
20
Z67 1
102(0603 K)
RA5 7
1M
VIN+
DA2
RA8
ZD(LL-34) R(1206)
CA21
2 .2 UF/25 V(12 06)
A
23
DA12
D
7
C
QA13
SI4416(SO-8)
104(0603 K)
5
CA4 9
B++
1
4
61
72
83
Z68 4
26
INT V CC
1 02(060 3 K)
S1
QA24
DT B114EK
E
C
D
C
A
0
Z68 5 CA3
25
Z67 9
VIN1
6
7
8
C
VIN
INT VCC
FCB
3.3Vout
15
CA6
VOSENSE1
ST BY MD
R
RA 5
R
SENSE1-
FREQSET
FLTCPL
0
RA60
SENSE1+
VOSENSE2
5
Z66 8
S1
PGND
SENSE2-
R
RA86
20K(0603 F)
G
CA25
100UF/25V (6 .3 x 7)
SI4812(SO-8)
Z66 9
CA9
C(D)
BG1
UA1
LT C1628(SSOP28)
SENSE2+
CA10
102(0603 K)
Z66 7
0
RA 3
SGND
4
+
G
A
A
3
2
1
Z66 5
SW1
BG2
9
Z66 6
CA57
100P(K)
24
21
19
QA15
SI4812(S0-8)
+
SW2
4
RA87
64.9K(0603 F)
TG1
BOOST 1
RUN/SS1
A
17
RA97
0
DA4
D
RA15
0(0603 J)
BOOST 2
RA96
1
RA94
Z66 3
TG2
18
5
25m(12 06 J)
5
27
1
2
3
CA11
104(0603 K)
C
+
16
Z66 2
LA2
6.5UH(SDS1208-6R5M)
DA1
F1J4
P1
P1
4
83
72
61
C
RA95
0
2
DA7
F1J4
+
A
A
F1J4
5
QA16
SI4416(SO-8)
Z53 6
RA14
0(0603 J)
2.2UF/25V (120 6 M)
RUN/SS2
47UF/6.3 V(D S PC ON)
+
VIN1
1 04(080 5 K)
+
CA94
0.1U(0805)
CA51
RA93
14m(1206 J)
CA8
C
CA50
47UF/6.3V(D SPCON)
CA61
2.2UF/25V(1206 M)
8
7
6
ZDA1
ZD(LL-34)
CA5
2.2UF/25V(1206 M)
DA5
6
5
Z68 74.7K(0603 F)
CA7
104(0805 K)
CA55
RA24
S
S
2 2U F/25V (DIP 10x5 OSCON)
RA11
R(1206)
S I99 45(S O-8)
CA19
103(0603 K)
CA59
100UF/25V(DIP6.3x7 E/C)
QA3B
8
7
INT V CC
+
Z68 6
S
S
CA46
N17
N18
N19
N20
QA3A
4 .7UF(12 06)
CA31
100UF/25V(DIP6.3x7 E/C)
CA27
104(0805 K)
7A/FUSE
C
VDD3+
A
B++
+V DD3+
RA20
S1
B
31,33,35
DD_ON#+
A
DA22
C
1 SS 35 5
VC
A
DA23
200 K(06 03)
S1
C
B
QA25
DT D114EK
E
S1
C
1 SS 35 5
31,35
P WRSW+
A
DA25
C
NOTE: 3.3V/6A (7.2A)
5V/5.2A (7.5A)
12V/0.5A
1 SS 35 5
8500V
T itle
77-8 5V0 C-D01A
6 – 34
Size
B
Document Number
Date:
Friday, October 20, 2000
Rev
1.0A
V DD & VCC3
Sheet
34
of
37
Part 6: Schematics
31,36
+A++
RA102 40m(1206)
LA3
RA103 40m(1206)
100UH(55130X2P)
CA67
100UF/25V
SI4431(SO-8)
CA68
100UF/25V
CA69
100UF/25V
CA70
0.1UF(0805)
RA164
R
B
36
ZDA3
B
ZD(LL-34)
RA114
100K
+A++
8
Ichg
T L494(SO-16)
UA11
8
11
12
13
5
6
4
3
C
RA127
100K
Q1-C
Q2-C
VCC
MODE
CT
RT
DEAD
COMP
1IN+
Q1-E
Q2-E
2IN+
2INVREF
1INGND
CA88
104
RA121 40.2K
1
9
10
16
15
14
2
7
-
5.1K
RA123
15K
FB1
S
UA10A
LM358
+
7
RA116
-
2N7002
RA119
27.4K
10K
LM358
5
T EMP+
31,36
6
RA120
51K/F
3
2
RA124 R
CA73
C
RA125
R
RA128
10K
CA75
RA130
+
1
RA122 0
QA32
G
RA159 RA117
1.5M 18K/B
RA115
374K/F
UA10B
RA118
10K
4
RA129 499K
0.1UF
CA76
0.1UF
CA89
0.1UF
RA132
Vc
232K/F
RA133
133K
RA134
12K
31,36
A
+A++
DA27
C
1S S 355
C
QA35
B
RA135
2N3904
100K
DD_ON#+
E
QA36
2N3906
E
C
31,33,34
31,33,36
BAT +
DA28
A
UA12
C
8
MIC2951(SO-8)
VI
VO
VO
ON/OFF
ADJ
4
2.2UF(1206)
6
7
CA77
6
7
5
RA136
10K
GND
1S S 355
B
B
36
RA126
10K
RA112
27.4K/F
CA72
C
E
Vc
+A++
0.1UF
+A++
QA34
DT B114EB
C B
CA74
1000P
CA71
1UF/16V(0805)
FB1
31,36
QA33
DT D114EK
E
RA111
75K/B
CA95
31,33,36
2N7002
A
QA31
2N3906
CHG_CT L_A
31,36
VA_BAT
RA110
499K/F
DA31
RB05L
E
C
36
BAT +
QA29
RA106
300K/B
A
RA113
10K/F
C
QA30
2N3904
Vr
C
G
C
E
36
A
DA26
RA105 F1AJ3
2K/F
RA104
2K/F
8
QA40
2
4
RA109
4.7
1
D
RA108
1K
8
7
6
5
S
RA107
36.5K/F
CA66
0.1UF(0805)
DRAIN
DRAIN
DRAIN
DRAIN
C
CA65
10UF/25V(1812)
SOURCES
SOURCES
SOURCES
GAT E
D
1
2
3
4
Vc
1
2
3
CA78
0.1UF(0805)
CA79
2.2UF(1206)
RA137
10K
Vc
31,34
PWRS W+
RA138
14K/F
A
DA29
C
C
E
DA30
31,33,34
DD_ON#+
B
QA37
DT D114EK
1S S 355
A
C
1S S 355
31,36
T EMP+
CA80
1UF/16V(0805)
T it le
8500V
Size
A3
Date:
Document Number
CHARGE1
T hursday, December 07, 2000
Rev
4
Sheet
35
of
37
6 – 35
Service Manual
Vc
RA139
0
VCC+
CA81
C(1206)
RA140
330(0805)
RA141
R
QA38
2N3906
E
RA142
R
7
IRQ / Vpp
28
RESET
CA83
10UF/25V(1206)
9
PB2/CS0(OSC1)
CA84
0.1UF
10
PB3/CS1(OSC2)
16
VSS
Vc
RA160 0
VCC+
UA6
1
RA77
226K 1%
RA149
43.2K/F
CA45
UA8
1
RA62
100K
RA74
18K/F
0.1U
AIC431(T O-92)
14
13
12
11
18
19
20
17
PB7/AN0
PB6/AN1
PB5/AN2
PB4/AN3
TM
CSA
VM
CAP(ADC)
Vc
35
RA146 10K
RA147 10K
RA148 10K
3
RA145
20K
VCC+
CHG_CT L_A
5
AIC431(SOT -89)
RA150 10K
VA_BAT
TEMP+
35
31,35
Ichg
35
RA151
100K/F
1UF/16V(0805)
CA56
2
A
C
SHUT DOWN+
1SS355
UA4A
LM339
2.2U/25V(1206)
RA75
90.9K 1%
RA76
47K
C
CA86
1UF/16V(A)
2
DA11
+
CA85
1
WIN719(SOIC-28)
4
Vc
12
RA144
10K
RA90
18K/F
22
23
24
25
26
27
2
1
PA0/PWM0
PA1/PWM1
PA2/PWM2
PA3/PWM3
PA4/SCL0
PA5/SDA0
PA6/SCL1
PA7/SDA1
Vdd
31,33,35
3
15
BAT +
2
PB1/T CAP
VCC+
BAT FULL+
3
Vc
6
5
4
3
PC4
PC5
PC6
PC7
ESV
8
Vr
C
RA143
10K
UA13
21
CA82
1UF/16V(0805)
35
B
2
RA162
100K
DA15
A
1SS355
31,35
U2A
Vr
8
15
7
28
9
10
16
BAT +
PC4
PC5
PC6
PC7
ESV
PB1/T CAP
IRQ / Vpp
RESET
PB2/CS0(OSC1)
PB3/CS1(OSC2)
VSS
RA49
PB7/AN0
PB6/AN1
PB5/AN2
PB4/AN3
TM
CSA
VM
CAP(ADC)
14
13
12
11
18
19
20
17
1M
A
C
VCC3+
VCC3+
DA10 1SS355
RA61
22
23
24
25
26
27
2
1
PA0/PWM0
PA1/PWM1
PA2/PWM2
PA3/PWM3
PA4/SCL0
PA5/SDA0
PA6/SCL1
PA7/SDA1
Vdd
31,33,35
6
5
4
3
CHG_CT L_A
RA45
35
RA153 10K
RA154 10K
RA155 10K
31,35
Vc
+A++
A
DA9
C
RA44
47K
287K 1%
47K
7
1SS355
C
+
6
-
RA161 0
12
21
3
RA163
100K
35
+A++
RA152
R
1
UA4B
LM339
B
E QA9
2N3904
BATLOW#+
CA870.1UF
VA_BAT
TEMP+
35
31,35
Ichg
35
RA48
R
RA156
RA46
10M
RA47
97.6K 1%
31
RA50
CA29
2.2U/25V(1206)
47K
R
Vc
WIN719(SSOP-28)
Vc
RA157
330(0805)
RA158
35
C
B
CHG_CT L_A
E
10K
QA39
2N3904
BAT CHA+
T it le
8500V
Size
A3
Date:
6 – 36
Document Number
CHARGE2
Friday, October 20, 2000
Rev
1.0A
Sheet
36
of
37
Part 6: Schematics
1
H45
HOLEC315D110N-V8
CN39
CN37
1
2
1
L99
1
2 0(0803)
2
L100
1
2 0(0803)
3
MODEM-EXT -RJ11
1
2
3
FAN_CON
C697
C
C698
C
KAPOK
³Í³Õ¹q¸£
Size
Document Number
Custom
Date:
Rev
2.0A
RJ-11
Friday, October 20, 2000
Sheet
37
of
37
6 – 37
Service Manual
GND OF C13
GND OF C10
IS NEAR TO Q5
IS NEAR TO Q8
2UH(55050)
F1
L2
10UF/25V(2220)
B+
C43
C40
22UF/25V(DIP10X5)
C45
2.2UF/25V(1206)
C49
2.2UF/25V(1206)
2.2UF/25V(1206)
D18
28
R85
33m(2010)
27
R76
1:1.8(EER
SI4410(S0-8
14.5) )
8
7
6
2.7
25
5
3
+
R66
0
D16
C34
68UF/6.3V(DIP6.8X5)
4
C35
C
C39
68UF/6.3V(DIP6.8X5)
3
2
1
RB050L-40
2
C37
C36
C
5
A
6
SS3GNDSS3GND
D14
C
8
1N4148
A
SENSE+2
SENSE-1
SENSE-2
RUN/SS1
RUN/SS2
VPROG1
VOSENSE2
ITH1
7UH(55050*2P)
C11
ITH2
SS3GND
10
C14
0.01UF
23
SS4GND
A
R82
1M
C
AUXON
SFB1
R48
C19
35.7K
C25
C23
1000PF
17
15
C22
220PF
SS3GND
ZD3
3.9V(LL-34)
D22
SS4GND
1000PF
R46
R
1000PF
R37
SS3GND
Q2
SI4410(SO-8)
PGND
21
C28
100PF
VCC3
47K
R34
0
C
SGND
R50
10K
R81
D1
RB050L-40
R35
0
150(0805)(R)
C17
C16
220UF/4V(DIP8X5)
220UF/4V(DIP8X5)
+
+
4
C
11
R87
R90
40m(2010)
1N4148
SS3GND
C27
1000PF
R49
R
40m(2010)
2.7
14
PGND
9
10K
R45
200K
C26
0.01UF
R47
22
5
COSC
AUXFB
Q9
3906
L1
12
EXTVCC
C29
1000PF
R89
0.1UF
13
LT C1538(SSOP)
R31
DD_ON
VCC3
C20
20
U5
(5.49~5.73)
DD_ON#
BG2
SENSE+1
2.2UF/25V(1206)
19
C12
7
ZD2
5.6V(LL-34)
SW2
BG1
C47
18
C
R75
0
VCC3
TGL2
SW1
AUXDR
RB050L-40
R86
150(0805)(R)
C
4
Q17
A
+
PGND
BOOST2
TGL1
16
C
C46
2.2UF/25V(1206)
D19
9
BOOST1
4
2.7
C
1
4
24
26
1
L3
6
33m(2010)
R42
D21
1N4148
A
R84
PGND
C
F05J4L
VCC
PGND
C1
2.2UF/25V(1206)
Q3
SI4410(SO-8)
1
2
3
C30
0.1UF
+
C50
VIN
3
2
1
2
C48
2.2UF/25V(1206)
D20
2.7
R51
D17
3
5
1N4148
4
Q18
A
INTVCC
F1J4
C
A
47UF/25V(DIP6.3X7)
C42
6
7
8
5
1
2
3
8
7
6
SI4410(SO-8)
6
7
8
+
A
+
C
C9
FUSE
22UF/25V(DIP10X5)
10K
R40
3.3M
SS3GND
C18
470PF
R38
19.1K
VCC
3
1
2SA1797
(SOT-89)
2
Q19
D13
C
A
SS4GND
R41
1N4148
12VA
VCC
R
R17
DD_ON
C52
100P
R83
90.9K
+
+
R39
10K
C41
47UF/25V(DIP6.3X7)
DD_ON
Q7
3906
10K
R33
200K
C44
47UF/25V(DIP6.3X7)
SS4GND
PGND
GND PLANE
|LINK
|85MCHAR2.SCH
|PWR_CON2.SCH
5V/4.6A/PK 6.4A ; 12V/0.3A/PK 0.5A;3.45V/4A/PK 6A
KAPOK COMPUT ER CO.
71-85M0C-D02
Title
8500M SYST EM POWER REGULAT ORS
6 – 38
Size
B
Document Number
85MDD-02.SCH
Date:
Friday, September 15, 2000
Rev
A
Sheet
1
of
3
Part 6: Schematics
CCO
BAT
BAT
KS823C04(T O-252)
A1
U1
3
2
1
D23
A
C
8
7
6
5
SK 24
C
B+
A++
R77
0(1206)
A2
C
D4
AIC431
U6
LT1121CS8-5(SO8)
VC
SI4435(SO-8)
8
4
D8
R3
A
C
IN
5
0(1206)
SHDN
10K
R2
470
C38
2.2UF/25V(1206)
CT L_A
C51
10UF/50V(2220)
R1
R
CT L_A
A
C
B
E
C24
SS5GND
2.2UF/25V(1206)
3
2N3904
R16
10K
2
(ADJ)
GND
SK 24
1
OUT
R78
VC
DTB114EK
Q1
Q6
10K
VC
RN2
1
2
3
4
SS5GND
VCC
VC
A
A
R28
R
VC
33PF/NPO
C3
8P4R-10K
VC
U4
R27
1
12K
XOUT
2
3
F01J2E
C
C
D2
A
0.1UF/NPO
D9
C
R10
5
R11
R29
SS5GND
R52
4.32K
4
10K
1N4148
R
7
8
BAT
VCC3
R36
TEMP
4.7K
10
C10
R26
750
Vr
VCC
C13
0.1UF/NPO
VCC3
11
R12
26K 0.1%(1206)
0.1UF/NPO
12
BAT
13
R13
10K 0.1%(1206)
U3A
U3
AIC431
R19
47K
C5
0.1UF
14
C8
0.1UF/NPO
SS5GND
15
DSG
BTSA
SYS
VC
CV-B
VA-MH
CV-A
N.C
VB-MH
CTL-B
THRA
CTL-A
THRB
LEDB'
VA-LI
LEDB
VB-LI
LEDA'
TMR-MH
LEDA
GND
VC
AIC431
BTSB
N.C
9
TEMP
R24
226K 1%
MODE
RST
THS
6
1K
VCC
XIN
C6
D10
F01J2E
TMR-LI
3
2.2UF/25V(1206)
+
1
-
U2B
LM339
12
6
C15
A
C
SHUT DOWN
SHUT DOWN
8
7
6
5
10K
8P4R-10K
VC
BAT
R22
D5
Q10
DTB114EK
C
VCC3
1N4148
D6
A
CCO
47K
R23
287K
1%
C
5
1N4148
Vr
C2
2.2UF/25V(1206)
R6
A
A
Q5
2N3906
100
R67
4
10K
R20
47K
3
47K
R8
C
+
2
-
U2A
LM339
12
A
CCO
3
Q14
2N3904
4
Q15
2N3904
10K
VCC3
1N4148
21
R68
CT L_A
Q11
DTB114EK
BATCHA
10K
BATCHA
20
19
18
R44
17
R69
10K
Q8
DTB114EK
BAT FULL
10K
BAT FULL
R62
16
VC
S1
D1
G1
D1
S2
D2
G2
D2
IRF7304(SO-8)
MI4953
D15
C
CV_A
22
FB0
R70
R71
R
18K0.1%
FB0
R74
1K
R65
2
R79
1K
A
1M
CV_A
23
Q16
10K
D7
SS5GND
24
BAT
R43
R9
25
SS5GND
1
CV_A
0.1UF
26
1%
R80
R25
90.9K 1%
R64
330(0805)
C21
27
R32
1N4148
CV_A
R61
28
R63
1.8K
SS5GND
RN1
D11
330(0805)
29
10K
SS5GND
7
30
WIN716(SSOP-30)
1
2
3
4
8
7
6
5
A
8
0
U7A
AIC431
7
C33
0.1UF
6
U7
5
AIC431
R73
4.53K0.1%
R72
470K0.1%
1N4148
Q4
2N3904
BAT_LOW
BAT_LOW
R18
47K
R21
100K 1%
KAPOK COMPUTER CO.
71-85M0C-D02
Title
8500M CHARGER
Size
B
Document Number
85MCHAR2.SCH
Date:
Friday, September 15, 2000
Rev
A
Sheet
2
of
3
6 – 39
Service Manual
CN 1
B+
D D _ON
D D _ON
F B0
A++
VCC 3
VC C
R 88
R
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
B+
J P1
CCO
CCO
VC C S
VCC 3
1
2
VC C S
VC C S
H EAD ER 2
VC C
12VA
FA N
J P2
R 15
FA N
1
2
0(1 206)
POW ER _C ON _46
12VA
G-
H EAD ER 2
12VA
R 14
R (1 20 6)
CN 2
BATF U LL
SH UTD OW N
A
TEMP
BATBAT
BATF U LL
SH UTD OW N
A
TEMP
1
3
5
7
9
11
13
15
17
19
1
3
5
7
9
11
13
15
17
19
2
4
6
8
10
12
14
16
18
20
2
4
6
8
10
12
14
16
18
20
BATC H A
BAT_L O W
F
FA N
BATC H A
BAT_L O W
F
FA N
BATBAT
H EAD ER 10X2
KAP OK C OMPU TER C O.
71-85M0C -D 02
Ti tle
POW ER C ON N E C TOR
6 – 40
Size
B
D oc um ent N um ber
PW R _C ON 02 .SC H
D ate:
F riday , Sep t em ber 15, 2000
R ev
A
Sheet
3
of
3