Download Akai MPC4000 Service manual

Transcript
CONTRAST
REC GAIN
MAIN VOLUME
GAIN
HIGH
LOW
SETUP
SEQUENCE
Q1
Q2
F1
F2
F3
F4
F5
F6
F1
F2
F3
F4
F5
F6
MAX
MIN
PAD BANK
B
A
D
C
NEXT
SEQUENCE
F
E
TRACK
MUTE
7
8
SAMPLE
I
J
MULTI
L
MIN
MAX
A
MIN
ACTIVE
B
C
D
E
F
G
H
5
6
1
2
3
PROGRAM
K
MIXER
EFFECT
M
SAVE
N
GLOBAL
LOAD
MAX
ACTIVE
DRUMS
O
Q-LINK
SEQUENCE
PA D 13
P
LOOP
PA D 14
P
TO
PA D 15
P
FROM
PA D 16
P
Q
MISC.
MIDI
SEQ EDIT
STEP EDIT
SONG
PL AY
Q4
Q3
MAX
RECORD
9
4
MIN
R
L
R
0
&
ENTER
S
MAIN
T
PAD
ASSIGN
U
V
W
CURSOR
MIN
MAX
MIN
MAX
ACTIVE
ACTIVE
Q5
Q6
PA D 9
PA D 10
PA D 11
PA D 12
JOG
#
FULL
LEVEL
TIMING CORRECT
WINDOW
BLOCK
CURSOR
X
16
LEVELS
PA D 5
PA D 6
PA D 7
PA D 8
MASTER TEMPO
SHIFT
Y
!
LOCATE
UNDO SEQ
ERASE
GO TO
STEP
PA D 1
PA D 2
PA D 3
BAR
PA D 4
Z
EVENT
START
END
(
PL AY / REC
ACTIVE
NOTE
REPEAT
ACTIVE
TAP TEMPO
REC
OVER
DUB
STOP
PLAY
PLAY
START
)
Music production Center
SERVICE MANUAL
1
# SAFETY INSTRUCTIONS
# INFORMATIONS
1. Parts identified by the } symbol are critical for safety.
Replace them only with the parts number specified.
2. In addition to safety, other parts and assemblies are
specified for conformance with such regulations as those
applying to spurious radiation.
These must also be replaced only with the specified
replacements.
Examples : Noise blocking capacitors, noise blocking filters,
etc.
3. Use specified internal wiring. Note especially :
1) Wires covered with PVC tubing
2) Double insulated wires
3) High voltage leads
4. Use specified insulating materials for hazardous live parts.
Note especially :
1) Insulation Tape
2) PVC tubing
3) Spacers (insulating barriers)
4) Insulation sheets for transistors
5) Plastic screws for fixing micro switches
5. When replacing AC primary side components (transformers, power cords, noise blocking capacitors, etc.),
wrap the ends of the wires securely around the terminals
before soldering.
SYMBOLS FOR PRIMARY DESTINATION
6. Make sure that wires do not contact heat producing parts
(heat sinks, oxide metal film resistors, fusible resistors,
etc.).
7. Check that replaced wires do not contact sharp edged or
pointed parts.
8. Also check areas surrounding repaired locations.
9. Make sure that foreign objects (screws, solder droplets,
etc.) do not remain inside the set.
Unit destinations are indicated with letters as shown below.
Symbols
A
B
E
J
V
X1
X4
MAKE YOUR CONTRIBUTION TO PROTECT THE
ENVIRONMENT
Used batteries with the ISO symbol for recycling as
well as small accumulators (rechargeable batteries),
mini-batteries (cells) and starter batteries should not
be thrown into the garbage can.
Please leave them at an appropriate depot.
PRECAUTIONS FOR LITHIUM BATTERY
The lithium battery may explode when incorrectly replaced.
[OBSERVE THE FOLLOEING WHEN REPLACING]
• Replace with the same make and type or equivalent recom
mended by manufacturer.
• Place battery in correct polarity.
• Do not short the terminals.
• Do not charge battery.
• Do not dispose of battery in fire.
SAFETY CHECK AFTER SERVICING
After servicing, make measurements of leakage-current or
resistance in order to determine that exposed parts are
acceptably insulated from the supply circuit. The leakagecurrent measurement should be done between accessible
metal parts (such as chassis, ground terminal, microphone
jacks, signal input/output connectors, etc.) and the earth ground
through a resistor of 1500 ohms paralleled with a 0.15 µF
capacitor, under the unit’s normal working conditions.
The leakage-current should be less than 0.5 mA rms AC. The
resistance measurement should be done between accessible
exposed metal parts and power cord plug prongs with the
power switch (if included) “ON”. The resistance should be
more than 2.2 M ohms.
SERVICE MANUAL
2
Principal Destinations
U.S.A
England
Europe
Japan
Germany
Japan
Universal Area
I. SPECIFICATIONS
General
Sound Generator
Power supply
100-240V AC 50/60Hz 70W (27W without options)
Dimensions
Weight
526 (W) x 170 (H) x 453 (D) mm (with LCD tilted down)
10.5kg (without options)
Display
320 x 240 dots grey-scale graphical LCD w/back light
Sampling rate
Data format
44.1kHz, 48kHz, 96kHz
24/16 bit linear
Sampling time
180/120 (16/24 bit) seconds mono FS=44.1kHz
(unexpanded memory)
166/110 (16/24 bit) seconds mono FS=48kHz
82/53 (16/24 bit) seconds mono FS=96kHz
90/60 (16/24 bit) seconds stereo FS=44.1kHz
83/55 (16/24 bit) seconds stereo FS=48kHz
40/26 (16/24 bit) seconds stereo FS=96kHz
Sequencer
Inputs/Outputs
Memory
16MB standard, expandable to 512MB
Polyphony
168-pin DIMM (PC133/PC100, CL2) slot x 2
64 voices
Filter
2-pole x 3 with resonance
Envelope generators
LFO
3 x Envelope generators (2 multi-stage)
2 x Multi-wave
Maximum events
300,000 notes (equivalent)
Resolution
Sequences
960 parts per 1/4-note (ppq)
128
Tracks per sequence
128
MIDI output channels
Song mode
64 (16 channels x 4 output ports)
128 songs, 250 steps per song
Drum pads
16 (velocity and pressure sensitive)
Drum pads banks
Sync modes
6
MTC, MIDI clock, SMPTE
Rec In LINE/MIC L/R
1/4-inch stereo phone/XLR Combo jack, balanced,
Rec In PHONO L/R
1/4-inch phone, Imp. 18kΩ, 24dBu max./XLR, Imp. 6kΩ, 22dBu max.
RCA phono x 2 with RIAA EQ, -24dBu max. (1kHz)
Main Out L/R
1/4-inch stereo phone/XLR Combo jack, balanced,
1/4-inch phone, Imp. 1.7kΩ, 24dBu max./XLR, Imp. 200Ω, 18dBu max.
Assignable Outs (IB-48P
required)
1/4-inch stereo phone x 8, balanced, Imp. 1.7kΩ, 18dBu max.
Headphone
Digital Input (IB-4D required)
1/4-inch stereo phone, 60mW (32Ω)
RCA phono x 1, SPDIF
Digital Main Output
Options
Standard accessory
(IB-4D required)
Word Clock Input
RCA phono x 1, SPDIF
(IB-4D required)
BNC x 1 (w/75Ω terminator On/Off)
SMPTE In
SMPTE Out
1/4-inch stereo phone, balanced
1/4-inch stereo phone, balanced
Footswitch
1/4-inch phone x 2
MIDI Input
MIDI Output
5-pin DIN x 2
5-pin DIN x 4
SCSI
50-pin high-pitch SCSI connector x 1
USB
IB-4D
Host x 1, Slave x 1 (V1.1)
Digital Audio (SPDIF) I/O board
IB-4ADT
Adat Interface board (2-in/8-out)
IB-48P
Power Cable
Assignable 8-channel Output Board
x1
CD-ROM disc
x1
Drive Mounting Kit
User Guide
x1
x1
* The specifications are subject to change without the prior notice.
SERVICE MANUAL
3
II. DISASSEMBLY
In case of trouble, etc., necessitating dismantling, please dismantle in the order shown in the illustrations. Reassemble in the
reverse order.
1. Removal of the OPERATION BLOCK
ZS-322570
ST BID40X08STL NI3
ZS-331182
BT BID30X08STL BNI
SERVICE MANUAL
4
ZS-821548X SCREW TOP COVER NI
ZS-331182
BT BID30X08 STL BNI
ZS-331182
BT BID30X08 STL BNI
SERVICE MANUAL
5
ZS-331182
BT BID30X08 STL BNI
SERVICE MANUAL
6
III. PRINCIPAL PARTS LOCATION
BA-L4016A504F
PC POWER SW BLK
EM-812761J
IND LCD
BA-L4016A504C
PC LCD BLK
BA-L4016A502C
PC IO VR BLK
BA-L4016A504B
PC FUNCTION BLK
BA-L4016A504A
PC JOG BLK
BA-L4016A030A
PC OPERATION BLK
BA-L4016A502A
PC IO BLK
BA-L4016A502B
BA-L4016A504E
PC IO ADDA BLK
PC FILTER BLK
BA-LJ038A020A
PC POWER BLK SPS-80
BA-L6052A020A
PC CPU BLK Z8
EB4JS
BA-L4016A504D
PC HEAD PHONE & USB BLK
SERVICE MANUAL
7
IV. OS Update Procedure
OS Update Procedure
[OS Update procedure from USB]
The OS update can be made from the PC via the USB port.
You must have the “OS loader software for MPC4000” besides the OS .bin file.
The OS loader software includes the OS Loader.exe file, USB driver.inf file, and USB Driver.sys file.
1. Install USB Driver to your PC
After all files are un-Zipped, place the USB Driver.inf and USB Driver.sys files into the same folder, e.g. Driver.
Boot up the PC and MPC4000 complete and then connect the sampler to the PC using the USB cable.
This prompts that the PC found the new device an d the new driver is required.
Refer it to the Driver folder you just created.
The Driver software will be placed correctly and the MPC4000 is recognized properly.
2. OS update
Double click on the OS Loader.exe icon and specify the OS .bin file. It will send the OS to the MPC4000.
[Caution]
After the update, be sure to initialize the MPC4000 by pressing the GLOBAL key, and then [F6] (INITIAL)
and [F5] (DO IT) keys, before turning it off.
[OS update procedure from CD-R]
To update the OS from the CD-ROM, it requires the OS version later than V1.02 software installed on the MPC4000.
You can update the OS from the CD-ROM drive. Be sure to use the CD-ROM that contains the OS for the MPC
4000. You can create the OS disc by using your PC’s CD-R/W function to write the “MPC4000.bin” file on to an
ISO9660 CD-ROM disc.
1. Turn the MPC4000 on and insert the OS disc.
2. Press the LOAD key and select the MPC4000 OS file (.bin file).
3. Press the [F6] (DO IT) key and then the [F6] (INSTALL) key.
4. The OS Update progress appears, i.e. the messages “Please wait ??.” and then “Upgrading of the Flash
ROM was successful.” appear accordingly.
5. Press the [F6] (Restart) key. Be sure to initialize the MPC4000 by pressing the GLOBAL key, and then the
[F6] (INITIAL) and [F5] (DO IT) keys, before turning it off.
Writing Panel OS Program
Change the position of the Short Pin P15 on the IO PCB to PROG side.
The OS is automatically written to the Panel CPU RAM when the MPC4000 is switched on.
Switch the unit off when the “Process completed!” prompt message appeared and then replace the Short Pin to the
original position.
SERVICE MANUAL
8
V. TEST MODE
Panel Test Mode
To enter the Panel Test Mode, press the GLOBAL key while holding down the SHIFT key in the GLOBAL page [F1] of the
GLOBAL Mode.
• Moving the Q-link knobs changes the graphics accordingly.
• Tapping the PAD shows the amount of pressure applied on the bar graph.
• Switching the FOOT Pedal on/off changes the graphics accordingly.
• Turning the JOG wheel increases/decreases the value in the Data field.
• Pressing the key shows its name at the bottom of the screen. For those keys with the LED, the LED is turned
on/off.
• Pressing the [F1] key stops the key test.
• After stopping the key test, pressing the mode key will abort the test mode.
• While the key test is stopped, pressing the SHIFT key and [F6] key shows the A/D converted value of the
Q-link/ PAD.
CPU Board Test Mode
The Test Mode of the MPC4000 CPU Board is performed while the CPU board is mounted on the Z4/Z8 Sampler.
When the Z4/Z8 is switched on after the MPC4000 CPU Board is mounted on the Z4/Z8 Sampler, the sampler
is turned on with the MPC4000 screen.
Install the Z4/Z8 OS using the “OS Loader” of “Boot Overwrite” type via the USB port.
Similarly, when the CPU Board is mounted back on the MPC4000 after the Z4/Z8 OS is installed for the test,
it boots up with the Z4/Z8 screen. Reinstall the MPC4000 OS using the “OS Loader” of “Boot Overwrite” type.
Refer to the Z4/Z8 Service Manual for the operation details of the Test Mode for the CPU Board.
SERVICE MANUAL
9
VI. PARTS LIST
ATTENTION
1. When placing an order for parts, be sure to list the Part No., Model No. and the description of each part.
Otherwise, the non-delivery of the part or the delivery of a wrong part may result.
2. Please make sure that Part No. is correct when ordering.
If not, a part different from the one you ordered may be delivered.
3. Since the parts shown in Parts List or Preliminary Service Manual may have been the subject of changes,
please use this Parts List for all future reference.
HOW TO USE THIS PARTS LIST
1. This Parts List lists those parts which are considered necessary for repairs.
2. Parts not shown in the Parts List will not in principle be supplied.
3. How to read the Parts List.
2. FINAL ASSEMBLY BLK
1. PC MAIN BOARD BLK
Ref. No.
D5
D110
:
:
IC5
IC10
Part No.
ED-431276C
ED-431276C
Description
D SCHOT 1S30-J T05
D SCHOT 1S30-J T05
Ref. No.
1.
2.
EI-811073J
EI-811068J
ICTRC-6593
IC HD74HC157FP
44.
45.
46-A
Part No.
SA-349332
ZS-344754C
:
:
SP-417333J
ZS-418385J
} EW-380905J
46-B
46-C
} EW-368420J1
} EW-410608J
Service parts classification
These reference symbols correspond with component
symbols in the Schematic Diagrams.
Description
FOOT
ST PAN30x06STL CMT
COVER TOP
BT BID30X06STL BNI EATRH LOCK
AC CORD 250S KP300 KS16A
H B J [J]
AC CORD 200SKP30KS B AC [A]
AC CORD 250 KP4819D KS31A B E
[E,V]
Symbols for primary destination
[A] U.S.A.
[J] Japan
[B] England
[V] Germany
[E] Europe
[x1] Japan
[X4] Universal Area
Safety critical component
This number corresponds with the individual parts index number
in the figure.
WARNING
} INDICATES SAFETY CRITICAL COMPONENTS. FOR CONTINUED SAFETY, REPLACE SAFETY CRITICAL
COMPONENTS ONLY WITH MANUFACTURER'S RECOMMENDED PARTS.
AVERTISSEMENT
} IL INDIQUE LES COMPOSANTS CRITIQUES DE SÉCURITÉ. POUR MAINTENIR LE DEGRÉ DE SÉCURITÉDE
L'APPAREIL, NE REMPLACER QUE DES PIÉCES RECOMMANDEES PAR LÉ FABRICANT.
SERVICE MANUAL
10
PC BOARD BLK
The PC Board Block may contain several PC Boards. They appear
under its block name with their individual part number.
1. P.C. BOARD BLOCK
Ref.No.
1
Part No.
BA-L6052A020A
Description
PC CPU BLK Z8
2
3
BA-L4016A020A
BA-L4016A030A
PC (#) IO BLK MPC4000
PC OPERATION BLK MPC4000
4
BA-L4016A040A
PC (#) OTHER BLK MPC4000
PC (#) IO BLK CONSISTS OF FOLLOWING P.C. BOARDS.
✽
BA-L4016A502A
PC IO BLK
✽
✽
BA-L4016A502B
BA-L4016A502C
PC IO ADDA BLK
PC IO VR BLK
PC (#) OTHER BLK CONSISTS OF FOLLOWING P.C. BOARDS.
✽
✽
BA-L4016A504A
BA-L4016A504B
PC JOG BLK
PC FUNCTION KEYS BLK
✽
✽
BA-L4016A504C
BA-L4016A504D
PC LCD BLK
PC HEAD PHONE & USB BLK
✽
✽
BA-L4016A504E
BA-L4016A504F
PC FILTER BLK
PC POWER SW BLK
2. P.C. CPU BLOCK
Ref.No.
X1
Part No.
EI-820769X
Description
OSC X'TAL C.SMD-49 3.6864MHZ
X2
X3
EI-820994X
EI-820993X
OSC X'TAL C.DS0751SV 18.4320MHZ
OSC X'TAL C.DS0751SV 16.9344MHZ
X4
X5
EI-820991X
EI-820995X
OSC X'TAL C.SMD-49 12.0000MHZ
OSC X'TAL C.DS0751SB 40.0000MHZ
X6
EI-820989X
OSC X'TAL C.DS0751SV 48.0000MHZ
3. P.C. IO BLOCK
Ref.No.
Part No.
100
EZ-811066J
Description
BATTERY LITHIUM CR2025
400
D1
ES-429984J
ED-811455J
SOCKET IC113-0444-004 44P
D SILICON H 1SS133T-77 T26
D2
D3
ED-811455J
ED-428162J
D SILICON H 1SS133T-77 T26
D SCHOTTKY 1S30-E T26
D4
D5
ED-428162J
ED-820774X
D SCHOTTKY 1S30-E T26
D SCHOT.H EK16 V0 T26 60/1.5A
D6
D7
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D8
D9
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D30
D31
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D32
D33
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
Ref.No.
IC1
Part No.
EI-820788X
Description
IC GDS1110BD-206MHZ MBGA
FS1
IC1
EF-811786J
EI-821048X
FUSE RUE110 30V 1.10A
IC UPC29M33AT-E2 FPE2T16E
IC2
EI-821551X
[ unavailable ]
IC MB87L1852PFVS-G-BND JSL
IC2
IC3
EI-811073J
EI-396659J
IC RTC-6593
IC NJM2360D
IC3
IC4
EI-821594X
EI-821594X
IC HM5264165FTT-75
IC HM5264165FTT-75
IC4
IC5
EI-820062J
EI-821116X
IC HD74HCT541FP FPELT24
IC HD74HCT04AFP FPELT16E
IC5
IC6
EI-821424X
EI-821424X
IC HY57V281620AT-7 FPTY
IC HY57V281620AT-7 FPTY
IC6
EI-810828J
IC MCCS142236DWR2 18B
FPR2T24E
IC7
IC8
EI-821211X
EI-820962X
IC MBM29DL322TE90TN
IC XC95144XL-7TQ100C
IC7
IC8
EI-812979J
EI-812979J
IC HD74LVC245ATELL FPELT16E
IC HD74LVC245ATELL FPELT16E
IC9
IC10
EI-820961X
EI-820959X1
IC XC2S100-5TQ144C
IC SL811HST V1.5
IC9
IC10
EI-812977J
EI-431113J
IC HD74LVC08TELL FPELT16E
IC M51953BFP-73A FP73AT12E
IC11
IC12
EI-812785J
EI-820949X
IC FAS236U PQFP
IC HD74ALVCH16245TEL
IC11
IC12
EI-821593X
EI-380145J
IC ICS2008BV
IC LF353
IC13
IC14
EI-820948X
EI-820948X
IC HD74ALVCH16244TE
IC HD74ALVCH16244TE
IC13
IC14
EI-821344X
EI-812980J
IC TC74HCT32AF-EL FPELT16
IC HD74LVC32TELL FPELT16E
IC15
IC16
EI-820948X
EI-820949X
IC HD74ALVCH16244TE
IC HD74ALVCH16245TEL
IC15
IC16
EI-812979J
EI-812980J
IC HD74LVC245ATELL FPELT16E
IC HD74LVC32TELL FPELT16E
IC17
IC18
EI-820951X
EI-820951X
IC HD74LVC244AT FPELT16E
IC HD74LVC244AT FPELT16E
IP1
J1
EF-373285
EJ-430956J
FUSE ICP-N25 T05 50V 1.0A
DIN J YKF51-5058 2X5P
IC19
IC20
EI-812979J
EI-810574J
IC HD74LVC245ATELL FPELT16E
IC M51957BFP FP73AT12
J2
J3
EJ-430956J
EJ-430956J
DIN J YKF51-5058 2X5P
DIN J YKF51-5058 2X5P
IC21
IC22
EI-820957X
EI-820957X
IC NJM317DL1 FPTE1T16E
IC NJM317DL1 FPTE1T16E
J5
J6
EJ-820493X
EJ-820316X
SOCKET BATTERY BH25TN
SOCKET CONNECTER YKF45-
IC23
J1
EI-820955X
EJ-820938X
IC NET2890
SOCKET SMD XH4A-8035-A 80P
J8
EJ-820939X
0001 4P
SOCKET XH4A-8031-A 80P
J2
J3
EJ-820938X
EJ-820937X
SOCKET SMD XH4A-8035-A 80P
SOCKET SMD XH4A-4035-A 40P
J9
J10
EJ-820939X
EJ-821219X
SOCKET XH4A-8031-A 80P
PHONE J YKB22-5244 NUT 6.3
J4
J5
EJ-820937X
EJ-820990X
SOCKET SMD XH4A-4035-A 40P
SOCKET DMM-168F-111B1 168P
J11
J12
EJ-821219X
EJ-812347J
PHONE J YKB22-5244 NUT 6.3
SOCKET FCN-235D050-G/J 50P
J6
J7
EJ-820990X
EJ-419246J
SOCKET DMM-168F-111B1 168P
SOCKET 128A-050S2A-S14A 50P
J13
J14
EJ-821386X
EJ-821386X
PHONE J YKB22-5264 NUT 6.3
PHONE J YKB22-5264 NUT 6.3
P2
P2
EJ-810581J
EJ-810581J
PLUG SCP40GS3 40P
PLUG SCP40GS3 40P
L1
P14
EO-812743J
EJ-810270J
COIL FIX 1 LHL10NB 821K
PLUG SCP50GS3 50P
P4
P5
EJ-432670J
EJ-821159X
PLUG C.S6B-PH-SM3-TB 6P T24E
PLUG C.S5B-PH-SM3-TB 5P T24E
P2
P3
EJ-810271J
EJ-810581J
PLUG SCP26GS3 26P
PLUG SCP40GS3 40P
P6
TR1
EJ-820998X
ET-430868J
PLUG C.S4B-PH-SM3-TB 4P T24E
TR C.DTC114EKA T146T08E
P3
P4
EJ-810581J
EJ-810270J
PLUG SCP40GS3 40P
PLUG SCP50GS3 50P
TR2
TR3
ET-430868J
ET-430868J
TR C.DTC114EKA T146T08E
TR C.DTC114EKA T146T08E
P9
PH1
EJ-810271J
EJ-431258J
PLUG SCP26GS3 26P
DETECTOR C.PC400T T12E
UN1
EI-820944X
DC-DC CONVERTER HGS15-3R3
PH2
EJ-431258J
DETECTOR C.PC400T T12E
SERVICE MANUAL
11
Ref.No.
R23
Part No.
ER-812771J
Description
R OMF V T05FS ERX12SE1/2W 1R0J
Ref.No.
J27
Part No.
EJ-821386X
Description
PHONE J YKB22-5264 NUT 6.3
R77
TR1
ER-821483X
ET-429896J
R OMF H S15 FS ERG2SH 2W 820J
TR C.DTA144EKA T146T08E
R361
RL1
ER-422512J
EQ-348929
R OMF H S15 FS ERG2SH 2W 151J
RELAY SIG G5A-237P 2TR 12V
TR2
TR3
ET-429897J
ET-434246J
TR C.DTC144EKA T146T08E
TR 2SB1326 Q,R T05
RL2
SW1
EQ-348929
ES-821121X
RELAY SIG G5A-237P 2TR 12V
SW SLIDE SSSF142-S09N0 4-02N
TR4
TR5
ET-362209
ET-430868J
TR 2SC3330 S,T,U T05
TR C.DTC114EKA T146T08E
TR10
TR11
ET-821232X
ET-821232X
TR 2SC3329 GR,BL T05
TR 2SC3329 GR,BL T05
TR6
ET-429898J
TR C.DTA114EKA T146T08E
TR12
TR13
ET-821232X
ET-821232X
TR 2SC3329 GR,BL T05
TR 2SC3329 GR,BL T05
TR14
TR15
ET-821232X
ET-821232X
TR 2SC3329 GR,BL T05
TR 2SC3329 GR,BL T05
TR16
TR17
ET-821232X
ET-821232X
TR 2SC3329 GR,BL T05
TR 2SC3329 GR,BL T05
TR19
TR20
ET-362847
ET-430868J
TR 2SA1317 S,T,U T05
TR C.DTC114EKA T146T08E
TR21
TR22
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR23
TR24
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
P.C. IO ADD BLOCK
X1
EI-410263J
D10
ED-811455J
OSC X'TAL HC-49/U 14.318180MHZ
D SILICON H 1SS133T-77 T26
D11
D12
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D13
D14
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D15
D16
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D17
D18
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D19
D20
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
P.C. IO VR BLOCK
IC60
EI-810591J
IC NJM5532L
D21
D22
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
IC61
IC62
EI-812479J
EI-812479J
IC NJM5532M FPT1T32P
IC NJM5532M FPT1T32P
D23
D24
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
SW3
ES-821122X
SW PUSH SPUJ19B-2N-✽W
2-02-02N
IC21
IC22
EI-348785
EI-348785
IC M5220L
IC M5220L
VR1
VR2
EV-821124X
EV-821123X
VR ROTARY RK1612220 L25RD203X2
VR ROTARY RK16312A0 L25 B103X2
IC23
IC24
EI-348785
EI-397407J
IC M5220L
IC NJM7812FA
IC25
IC26
IC27
EI-348785
EI-348785
EI-810591J
IC M5220L
IC M5220L
IC NJM5532L
IC28
IC29
EI-348785
EI-810591J
IC M5220L
IC NJM5532L
IC30
IC31
EI-812781J
EI-820696X
IC AK5383VS FPE2T24E
IC ICS570A-01CS08 FP T12E
IC32
IC33
EI-821120X
EI-810574J
IC HD74LVC02TELL FPELT12E
IC M51957BFP FP73AT12
IC34
IC35
EI-336995
EI-810574J
IC NJM78L05A
IC M51957BFP FP73AT12
IC36
IC37
EI-821442X
EI-821226X
IC PCM1730E FPT16E
IC OPA2134UA FPT12E
IC38
IC39
EI-821226X
EI-810591J
IC OPA2134UA FPT12E
IC NJM5532L
IC40
IC41
EI-377191
EI-377191
IC NJM5532D-D
IC NJM5532D-D
IC42
IC43
EI-377191
EI-377191
IC NJM5532D-D
IC NJM5532D-D
IC44
IC45
EI-348785
EI-410281J
IC M5220L
IC NJM7912FA
IC46
IC47
EI-821118X
EI-400856J
IC NJM78M08FA
IC NJM78M05FA
IC48
IC49
EI-400856J
EI-821118X
IC NJM78M05FA
IC NJM78M08FA
IC50
IC51
EI-821048X
EI-812977J
IC UPC29M33AT-E2 FPE2T16E
IC HD74LVC08TELL
FPELT16E
IC52
IC53
EI-820951X
EI-810588J
IC HD74LVC244AT FPELT16E
IC SSM-2404P
J21
J22
EJ-820762X
EJ-820762X
SOCKET RECEPTACLE NCJ9FI-H-0
SOCKET RECEPTACLE NCJ9FI-H-0
J23
J24
EJ-821443X
EJ-386340J
PIN J YKC21-3079 P2P
SOCKET RECEPTACLE XLB3-
EJ-386340J
32PCVM1
SOCKET RECEPTACLE XLB3-
EJ-821386X
32PCVM1
PHONE J YKB22-5264 NUT 6.3
J25
J26
4. P.C. OPERATION BLOCK
Ref.No.
Part No.
Description
D100
D101
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D102
D103
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D104
D105
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D106
D107
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D108
D109
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D110
D111
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D112
D113
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D114
D115
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D116
D117
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D118
D119
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D120
D121
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D122
D123
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D124
D125
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D126
D127
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D128
D129
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D130
D131
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D132
D133
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D134
ED-811455J
D SILICON H 1SS133T-77 T26
SERVICE MANUAL
12
Ref.No.
D135
Part No.
ED-811455J
Description
D SILICON H 1SS133T-77 T26
Ref.No.
D305
Part No.
ED-811455J
Description
D SILICON H 1SS133T-77 T26
D136
D137
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D310
D311
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D138
D139
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D312
D313
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D140
D141
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D314
D315
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D142
D143
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D320
D321
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D144
D145
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D322
D323
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D146
D147
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D324
D325
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D148
D149
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D330
D331
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D150
D151
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D332
D333
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D152
D153
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D334
D335
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D154
D155
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D350
D351
ED-393487J
ED-393487J
D ZENER H HZS7A1L T26
D ZENER H HZS7A1L T26
D156
D157
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D352
D353
ED-393487J
ED-393487J
D ZENER H HZS7A1L T26
D ZENER H HZS7A1L T26
D158
D159
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D354
IC22
ED-393487J
EI-820947X
D ZENER H HZS7A1L T26
IC HD64F2132RFA20
D160
D161
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
IC24
IC300
EI-375185
EI-362588J1
IC M51953BL
IC M5238AP
D162
D163
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
IC320
IC350
EI-362588J1
EI-387934J
IC M5238AP
IC HD74HC04P
D164
D165
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
P20
P30
EJ-361777
EJ-367245
PLUG S6B-PH-K WHT 6P
PLUG S4B-PH-K WHT 4P
D166
D167
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
P300
SW100
EJ-821080X
ES-415015J
SOCKET 5229-20APB 20P
SW TACT SKQEAD
D168
D169
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
SW101
SW102
ES-415015J
ES-415015J
SW TACT SKQEAD
SW TACT SKQEAD
D170
D200
ED-811455J
ED-388539J
D SILICON H 1SS133T-77 T26
D LED GL-3HD43
SW103
SW104
ES-415015J
ES-415015J
SW TACT SKQEAD
SW TACT SKQEAD
D201
D202
ED-388539J
ED-388539J
D LED GL-3HD43
D LED GL-3HD43
SW105
SW106
ES-415015J
ES-349474
SW TACT SKQEAD
SW TACT SKHHAM004A
D203
D204
ED-812086J
ED-812086J
D LED L-934SGD GREEN
D LED L-934SGD GREEN
SW107
SW108
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D205
D206
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW109
SW110
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D208
D209
ED-812086J
ED-812086J
D LED L-934SGD GREEN
D LED L-934SGD GREEN
SW111
SW112
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D210
D211
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW113
SW114
ES-415015J
ES-349474
SW TACT SKQEAD
SW TACT SKHHAM004A
D212
D213
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW115
SW116
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D214
D215
ED-812086J
ED-810393J
D LED L-934SGD GREEN
D LED GL-3KG8
SW117
SW118
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D216
D217
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW119
SW120
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D218
D219
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW121
SW122
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D220
D221
ED-812086J
ED-810393J
D LED L-934SGD GREEN
D LED GL-3KG8
SW123
SW124
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D222
D224
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW125
SW126
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D225
D226
ED-810393J
ED-810393J
D LED GL-3KG8
D LED GL-3KG8
SW127
SW128
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D227
D300
ED-810393J
ED-811455J
D LED GL-3KG8
D SILICON H 1SS133T-77 T26
SW129
SW130
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D301
D302
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
SW131
SW132
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D303
D304
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
SW133
SW134
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SERVICE MANUAL
13
Ref.No.
SW135
Part No.
ES-349474
Description
SW TACT SKHHAM004A
Ref.No.
D175
Part No.
ED-811455J
Description
D SILICON H 1SS133T-77 T26
SW136
SW137
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
D176
SW171
ED-811455J
ES-349474
D SILICON H 1SS133T-77 T26
SW TACT SKHHAM004A
SW138
SW139
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW172
SW173
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW140
SW141
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW174
SW175
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW142
SW143
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW176
W170
ES-349474
EW-821085X
SW TACT SKHHAM004A
WIRE ASSY L4016(3) JB-PH 6P
SW144
SW145
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW146
SW147
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW148
SW149
ES-349474
ES-337521
SW TACT SKHHAM004A
SW TACT SKHHAL
SW150
SW151
ES-337521
ES-337521
SW TACT SKHHAL
SW TACT SKHHAL
SW152
SW153
ES-337521
ES-337521
SW TACT SKHHAL
SW TACT SKHHAL
SW154
SW155
ES-337521
ES-337521
SW TACT SKHHAL
SW TACT SKHHAL
SW156
SW157
ES-337521
ES-337521
SW TACT SKHHAL
SW TACT SKHHAL
SW158
SW159
ES-337521
ES-337521
SW TACT SKHHAL
SW TACT SKHHAL
SW160
SW161
ES-337521
ES-337521
SW TACT SKHHAL
SW TACT SKHHAL
SW162
SW163
ES-337521
ES-349474
SW TACT SKHHAL
SW TACT SKHHAM004A
SW164
SW165
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW166
SW167
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW168
SW169
ES-349474
ES-349474
SW TACT SKHHAM004A
SW TACT SKHHAM004A
SW170
TR200
ES-349474
ET-364023
SW TACT SKHHAM004A
TR DTC114ES T05
TR201
TR202
ET-364023
ET-364023
TR DTC114ES T05
TR DTC114ES T05
TR203
TR204
ET-364023
ET-364023
TR DTC114ES T05
TR DTC114ES T05
TR205
TR206
ET-366753
ET-366753
TR DTA114ES T05
TR DTA114ES T05
TR207
TR208
ET-366753
ET-366753
TR DTA114ES T05
TR DTA114ES T05
TR209
TR210
ET-366753
ET-366753
TR DTA114ES T05
TR DTA114ES T05
Ref.No.
IC1
IC2
Part No.
EI-812794J
EI-820459X
Description
IC XCB56362PV100 TQFP
IC GM71VS65163CLT-5
VR200
VR202
EV-812350J
EV-812350J
VR SLIDE RS45112 L15 B103X2 SP
VR SLIDE RS45112 L15 B103X2 SP
IC3
IC4
EI-820459X
EI-812841J
IC GM71VS65163CLT-5
IC HM62W16255HJP-15
VR204
VR206
EV-812348J
EV-812348J
VR ROTARY RK14K124 L20 B103X2
VR ROTARY RK14K124 L20 B103X2
IC5
IC6
EI-812841J
EI-812977J
IC HM62W16255HJP-15
IC HD74LVC08TELL FPELT16E
VR208
VR210
EV-812348J
EV-812348J
VR ROTARY RK14K124 L20 B103X2
VR ROTARY RK14K124 L20 B103X2
J1
J2
EJ-820937X
EJ-820937X
SOCKET SMD XH4A-4035-A 40P
SOCKET SMD XH4A-4035-A 40P
X20
EI-820996X
OSC X'TAL C.SMD-49 14.7456MHZ
Ref.No.
IC1
Part No.
EI-397407J
Description
IC NJM7812FA
IC2
IC3
EI-410281J
EI-812978J
IC NJM7912FA
IC HD74LVC541ATELL FPELT16E
IC4
IC5
EI-427221J
EI-400856J
IC NJM7808FA
IC NJM78M05FA
IC6
IC7
EI-821048X
EI-336995
IC UPC29M33AT-E2 FPE2T16E
IC NJM78L05A
IC8
IC9
EI-810574J
EI-821442X
IC M51957BFP FP73AT12
IC PCM1730E FPT16E
IC10
EI-821226X
IC OPA2134UA FPT12E
P.C. HEADPHONE & USB BLOCK
IC200
EI-353227
IC M5216L
J200
J250
EJ-821000X
EJ-820970X
PHONE J YKB26-5264 S.NUT 6.3
SOCKET CONNECTER YKF45-
PS200
EF-811786J
0001 4P
FUSE RUE110 30V 1.10A
R210
R211
ER-430691J
ER-430691J
R OMF H S12 FS 1W 101J
R OMF H S12 FS 1W 101J
TR200
TR201
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR202
TR203
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
VR200
W200
EV-821235X
EW-821086X
VR ROTARY RK0971220 L15 B103X2
WIRE ASSY L4016(4) JC-PH 8P
W250
EW-821088X
WIRE ASSY L4016(6) JB-PH 4P
P.C. JOG BLOCK
RE300
ES-821084X
ROTARY ENCORDER REC16B25-
W300
201-C
WIRE ASSY L4016(5) JB-PH 4P
P.C. LCD BLOCK
J400
EJ-812770J
SOCKET 14FE-ST-VK-N 14P
VR400
W400
EV-820602X
EW-812756J
VR ROTARY RK09K113AF25B14 B103
WIRE ASSY L3055 W12 JB-51021 5
W401
W402
EW-812757J
EW-813024J
WIRE ASSY L3055 W16 JB-EH 14P
WIRE AWG24 TCT BLK L=60
P.C. POWER SW BLOCK
P3
EJ-359621
P4
EJ-375206
PLUG B2P-3-VH P7.92 2P
PLUG B2P3VH RED P7.92 2P
SW1
SW PUSH SDDF3A 02-1
ES-430685J1
6. P.C. EFFECT BLOCK (Z8)
7. IB-48P
5. P.C. FILTER BLOCK
Ref.No.
L1
P1
Part No.
EO-427223J
EJ-397230J
Description
COIL LF HR-24-562
PLUG B2P3VH BLACK P7.92 2P
P2
EJ-359621
PLUG B2P-3-VH P7.92 2P
P.C. FUNCTION KEYS BLOCK
D171
ED-811455J
D SILICON H 1SS133T-77 T26
D172
D173
ED-811455J
ED-811455J
D SILICON H 1SS133T-77 T26
D SILICON H 1SS133T-77 T26
D174
ED-811455J
D SILICON H 1SS133T-77 T26
SERVICE MANUAL
14
EW-821087X
Ref.No.
X1
Part No.
EI-820992X
Description
OSC X'TAL C.DS0751SV 25.0000MHZ
Ref.No.
Part No.
Description
100
110
EZ-811066J
EJ-378916J
BATTERY LITHIUM CR2025
SOCKET JM-2W-96 2P
IC NJM5532L
IC PCM1730E FPT16E
IC1
IC2
EI-813234J
EI-813233J
IC CS8414-CS FPT1T24E
IC CS8404A-CS FPT1T24E
EI-821226X
EI-810591J
IC OPA2134UA FPT12E
IC NJM5532L
IC3
IC4
EI-821412X
EI-821412X
IC SM5849BF
IC SM5849BF
IC22
IC23
EI-821226X
EI-810591J
IC OPA2134UA FPT12E
IC NJM5532L
IC5
IC6
EI-810887J
EI-810887J
IC AV9173-01CS08 FP T12E
IC AV9173-01CS08 FP T12E
IC24
IC25
EI-821442X
EI-821226X
IC PCM1730E FPT16E
IC OPA2134UA FPT12E
IC7
IC8
EI-821022X
EI-811576J
IC XC9572XL-7TQ100C
IC DS34C86TM FP T16E
IC26
IC27
EI-810591J
EI-821226X
IC NJM5532L
IC OPA2134UA FPT12E
IC9
IC10
EI-811205J
EI-811125J
IC MC74HCU04AF FPELT16E
IC UPC2933T
IC28
IC30
EI-810591J
EI-812977J
IC NJM5532L
IC HD74LVC08TELL FPELT16E
J1
J2
EJ-820754X
EJ-820150J
PIN J YKC21-3079 P2P
SOCKET COAX. BNC-LNRD-BPAA
J1
J2
EJ-413165J
EJ-821387X
PHONE J 3P YKB21-5009 NI 6.3
PHONE J YKB21-5264 6.3
SW1
T1
ES-428287J
BT-810651J
SW SLIDE SSSF112-S06N1 1-02N
TRANS PULSE CIT0705S-35101TFP
J3
J4
EJ-821387X
EJ-413165J
PHONE J YKB21-5264 6.3
PHONE J 3P YKB21-5009 NI 6.3
TR1
W1
ET-391712J
EW-821006X
TR 2SA1317 S,T T05
WIRE ASSY L5262(1) RA-RC 40P
J5
J6
EJ-821387X
EJ-821387X
PHONE J YKB21-5264 6.3
PHONE J YKB21-5264 6.3
X1
EI-821023X
OSC X'TAL C.DS0751SV 6.144MHZ
J7
J8
EJ-413165J
EJ-821387X
PHONE J 3P YKB21-5009 NI 6.3
PHONE J YKB21-5264 6.3
TR1
TR2
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR3
TR4
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR5
TR6
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR7
TR8
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR9
TR10
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR11
TR12
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR13
TR14
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR15
TR16
ET-410280J
ET-410280J
TR 2SC3327 A T05
TR 2SC3327 A T05
TR17
TR18
ET-364023
ET-362847
TR DTC114ES T05
TR 2SA1317 S,T,U T05
W1
EW-820969X
WIRE ASSY L5261(1) RA-RC 26P
Ref.No.
IC11
Part No.
EI-810591J
Description
IC NJM5532L
IC12
IC13
EI-821226X
EI-810591J
IC OPA2134UA FPT12E
IC NJM5532L
IC14
IC15
EI-821442X
EI-821226X
IC PCM1730E FPT16E
IC OPA2134UA FPT12E
9. IB-4D
IC16
IC17
EI-810591J
EI-821226X
IC NJM5532L
IC OPA2134UA FPT12E
IC18
IC19
EI-810591J
EI-821442X
IC20
IC21
10. LCD BLOCK
Ref.No.
1
2
Part No.
SP-812808X2
SP-821150X
Description
PANEL LCD
WINDOW LCD
3
4
EM-812761J
MB-820395X
IND LCD G321EV5R001
SHIELD LCD 110
5
6
MB-820396X
EM-812795J
SHIELD LCD 90
INVERTER CXA-K0505-VJL
7
8
ZS-811805J
EW-821444X
BT BID30X10STL BNI
CORD FFC P1.25 L480 14P
9
10
ZS-331182
MS-812805J
BT BID30X08STL BNI
SHAFT (1)
11
12
ZW-270101
MS-812806J
RING E 300SUP CMT
SHAFT (2)
13
14
SP-812809J
SK-821433X
BOTTOM LCD
KNOB LCD (2)
14
15
EV-820602X
BA-L4016A504C
VR ROTARY RK09K113AF25B14 B103
PC LCD BLK
16
EL-820373X
FL UNIT 001
11. FINAL ASSEMBLY BLOCK
8. IB-4ADT
Ref.No.
IC1
IC2
Part No.
EI-821412X
EI-821412X
Description
IC SM5849BF
IC SM5849BF
IC3
IC4
EI-821412X
EI-821412X
IC SM5849BF
IC SM5849BF
IC5
IC6
EI-821412X
EI-820941X
IC SM5849BF
IC XC2S50-5TQ144C
IC7
IC8
EI-820957X
EI-810887J
IC NJM317DL1 FPTE1T16E
IC AV9173-01CS08 FP T12E
IC9
IC10
EI-810887J
EI-812977J
IC AV9173-01CS08 FP T12E
IC HD74LVC08TELL FPELT16E
IC11
IC13
EI-821160X
EI-812978J
IC BA033FP E2 FPE2T16E
IC HD74LVC541ATELL FPELT16E
IC14
J1
EI-812978J
EJ-394459J
IC HD74LVC541ATELL FPELT16E
SOCKET OPTICAL GP1F32R
J2
J3
EJ-394490J
EJ-820965X
SOCKET OPTICAL GP1F32T
WIRE ASSY L5263(1) RA-RC 50P
P1
EJ-364356
PLUG B8B-PH-K WHT 8P
Ref.No.
1
2
Part No.
SP-821144X1
SE-820647X1
Description
PANEL TOP
ESCUTCHEON POWER
3
4
SE-810353J
SE-821149X
LENS LED
ESCUTCHEON PANEL
5
6
BUTTON
SP-821147X
SB-820646X
PANEL FRONT
BUTTON POWER [ Pow SW ]
6
7
SW
BUTTON
ES-430685J1
SB-821492X
SW PUSH SDDF3A 02-1
BUTTON PUSH (A) [ White ]
7
8
SW
BUTTON
ES-349474
SB-821549X
SW TACT SKHHAM004A
BUTTON PUSH C-7 [ White ]
8
9
SW
BUTTON
ES-349474
SB-430328J
SW TACT SKHHAM004A
BUTTON PUSH C [ Black ]
9 SW
10 BUTTON
ES-349474
SB-812379J
SW TACT SKHHAM004A
BUTTON PUSH C-4 [ Yellow ]
10 SW
11 BUTTON
ES-349474
SB-821429X
SW TACT SKHHAM004A
BUTTON PUSH C-6 [ Purple ]
11 SW
12 BUTTON
ES-349474
SB-430327J
SW TACT SKHHAM004A
BUTTON PUSH B(2) [ Red ]
SERVICE MANUAL
15
Ref.No.
12 SW
13 BUTTON
Part No.
ES-415015J
SB-821550X
Description
SW TACT SKQEAD
BUTTON PUSH B(5) [ White ]
Ref.No.
47
Part No.
ES-428287J
Description
SW SLIDE SSSF112-S06N1 1-02N
[ Termineter ]
13 SW
ES-415015J
SW TACT SKQEAD
[ TAP TEMPO ONLY ]
48
EJ-820754X
PIN J YKC21-3079 P2P
[ DIGITAL I/O ]
13 SW
ES-337521
SW TACT SKHHAL
[ PADBANK,NEXT,etc ]
49
50
ZS-322570
ZW-413267
ST BID40X08STL NI3
N FRANGE 40STL CMT
14 BUTTON
14 SW
SB-820334X
ES-415015J
BUTTON PUSH B(4) [ Black ]
SW TACT SKQEAD
51
52
ZS-812613J
EJ-405424J
PAN25X06STL NI3 [ SCSI JACK ]
PLUG ADAPTOR KPR-25
J
EW-380905J
ES-337521
[ PLAY,STOP,PLAY ST. ]
SW TACT SKHHAL
53A
14 SW
AC CORD 250S KP300 KS16A H B J
[J]
15 PAD
SE-820582X
[ Q-LINK SEQ, PAD ASSING,etc ]
PAD CH-SE3 [ Black ]
53B
53C
EW-368420J1
EW-403993J
AC CORD200SKP30KS16 B AC [ A ]
AC CORD200SKP4819DKS31A B E
15 SENSOR
16 KNOB
BA-379695J1
SK-821427X
PC PAD SENSOR
KNOB VOL LOWER PART (2)
53D
EW-419170J
[E]
AC CORD200S KP610 KS31A B [ B ]
EW-821111X
SK-821426X
[ REC LOWER]
KNOB VOL UPPER PART (2)
54
17 KNOB
WIRE ASSY L4016(12) AMP 40P
[ ATA-40pin ]
ZS-418538J
EV-821124X
[ REC UPPER ]
VR ROTARY RK1612220
55
17 VOL
PAN N06-32UNCX5MM STL CMT
[ for HD ]
18 KNOB
SK-821438X
L25RD203X2
KNOB VOL PART(12) [ MAIN ]
56
57
ZS-417137
EW-821112X
BID30X04STL CMT [ for ZIP ]
WIRE ASSY L4016(13) AMP-AMP 4P
18 VOL
EV-821123X
VR ROTARY RK16312A0 L25
B103X2
58
ZW-302909
[ Power Cable ]
RV NYL30X035 BL
19 KNOB
19 VOL
SK-821152X
ES-821084X
KNOB JOG [ JOG ]
ROTARY ENCORDER REC16B25-
59
EW-821537X
WIRE ASSY L4016(19) JST-MLX 2P
[ Digital Cable ]
EW-821536X
SK-821430X
201-C
KNOB SLIDE (C) [ Q-LINK ]
60
20 KNOB
WIRE ASSY L4016(18) JST-MLX 4P
[ Analog Cable ]
20 VOL
21 KNOB
EV-812350J
SK-821439X
VR SLIDE RS45112 L15 B103X2 SP
KNOB VOL PART(13) [ Q-LINK ]
61
EH-821634X
FILTER EMI TFC-16-8-13F WO/OP
[ for HD Power Cable ]
21 VOL
22
EV-812348J
SP-821145X
VR ROTARY RK14K124 L20 B103X2
PANEL SIDE(L)
62
BA-LJ038A020A
PC POWER BLK SPS-80
[ Power BLK ]
23
24
25
SP-821146X
ZS-821548X
ZS-821678X
PANEL SIDE(R)
SCREW TOP COVER NI
WASHER TOP
63 KNOB
63 VOL
SK-821432X
EV-821235X
KNOB VOL(F) [ Head Phone ]
VR ROTARY RK0971220 L15
B103X2
26
27 BUTTON
SK-821679X
SB-821151X
SPACER SLIDE
BUTTON CURSOR [ CURSOR ]
64
65
ZW-273914
ZW-413188
SW40
N40STL CMT 1
27 SW
28
ES-349474
EJ-358632J2
SW TACT SKHHAM004A
SOCKET INLET SOT-16 3P
66
67
EJ-821000X
EJ-820970X
PHONE J YKB26-5264 S.NUT 6.3
SOCKET CONNECTER YKF45-0001
29
BM-821374X
[ AC INLET]
MOTOR FAN 109R0612F402 L=230
68
ES821122X
4P [ USB-A ]
SW PUSH SPUJ19B-2N-*W 2-02-
30
SA-311742
[ MOTOR FAN ]
FOOT ROUND SHAPED [ FOOT ]
31
EJ-329610
TERMINAL W/SCREW UB-0067 L 1P
[ Signal GND ]
32
33
SP-821155X
ZS-331182
PANEL REAR
BT BID30X08STL BNI
34
35
ZS-396044
EJ-430956J
BID30X08STL BNI
DIN J YKF51-5058 2X5P [ MIDI I/O ]
36
EJ-812347J
SOCKET FCN-235D050-G/J 50P
[ SCSI I/O ]
37
EJ-821386X
PHONE J YKB22-5264 NUT 6.3
[ MAIN OUT ]
38
EJ-820762X
SOCKET RECEPTACLE NCJ9FI-H-0
[ XLR IN ]
39
EJ-386340J
SOCKET RECEPTACLE XLB332PCVM1 [ XLR OUT ]
40
EJ-821219X
PHONE J YKB22-5244 NUT 6.3
[ FOOT SW ]
41
EJ-820316X
SOCKET CONNECTER YKF45-0001
4P [ USB-B ]
42
43
EJ-821443X
ES-821121X
PIN J YKC21-4042 P2P [ PHONO IN ]
SW SLIDE SSSF142-S09N0 4-02N
44
ZS-820481X
[ INPUT SEL ]
BT PAN30X08STL BZN C080
45
ZS-388940J
[ AC INLET ]
BID40X35STL BNI
46
EJ-820150J
SOCKET COAX. BNC-LNRD-BPAA
[ Word Clock ]
SERVICE MANUAL
16
02N [ REC GAIN ]
FINAL ASSEMBLY BLOCK
2
13
6
7
1
68 17 16 18
11
4
CONTRAST
REC GAIN
22
MAIN VOLUME
23
GAIN
HIGH
LOW
SETUP
SEQUENCE
Q1
Q2
F1
F2
F3
F4
F5
F6
F1
F2
F3
F4
F5
F6
D
C
NEXT
SEQUENCE
F
E
TRACK
MUTE
7
8
MIN
B
MAX
MIN
ACTIVE
C
D
E
F
G
H
SAMPLE
I
J
MULTI
9
4
5
6
1
2
3
PROGRAM
K
MIXER
EFFECT
M
SAVE
N
LOAD
GLOBAL
MAX
ACTIVE
DRUMS
O
Q-LINK
SEQUENCE
21
PA D 13
P
LOOP
PA D 14
P
TO
PA D 15
P
FROM
PA D 16
P
SONG
Q
MISC.
MIDI
PL AY
Q4
Q3
MAX
RECORD
L
A
MIN
R
L
PAD BANK
B
A
MAX
MIN
R
0
&
ENTER
S
MAIN
T
SEQ EDIT
STEP EDIT
PAD
ASSIGN
U
V
8
W
CURSOR
MIN
MIN
MAX
ACTIVE
MAX
PA D 9
ACTIVE
PA D 10
PA D 11
PA D 12
JOG
#
FULL
LEVEL
TIMING CORRECT
WINDOW
BLOCK
CURSOR
Q6
Q5
X
16
LEVELS
PA D 5
PA D 6
PA D 7
PA D 8
MASTER TEMPO
19
SHIFT
27
Y
!
LOCATE
UNDO SEQ
ERASE
GO TO
STEP
PA D 1
PA D 2
PA D 3
BAR
PA D 4
Z
EVENT
START
END
(
PL AY / REC
ACTIVE
NOTE
REPEAT
ACTIVE
TAP TEMPO
REC
OVER
DUB
STOP
PLAY
PLAY
START
)
20
24
26
14
8
3
14
25
15
13
5
8 12 10
14
57
54
61
60
59
58
SERVICE MANUAL
17
FINAL ASSEMBLY BLOCK
31
42
43
35
38
39
34
37
41 51
33
40
36
32
37
45
48
29
46 47 44 28
30
50
49
55
56
53
52
54
ZS-418538J
SERVICE MANUAL
18
ZS-417137
LCD BLOCK
3
◎
◎
○
5
○
◎
4
2
1
3
9
16
7
6
14
15
8
13
11
12
10
SERVICE MANUAL
19
VII. INFORMATION OF ICs
1. IC Explanation.
1-1 IC1 SA1110B (Intel CPU)
206MHz version chip.
CPU clock : 176.9MHz.
SDRAM (CPU work memory) : 88.45MHz (=176.9MHz/2)
1-1-2 Pin Descriptions
Signal name
D[31:0]
A[25:0]
SDCKE1
SDCLK1
SDCLK2
/SDRAS
/SDCAS
/SDCS0
DQM[3:0]
/OE
/WE
/Cs[5:0]
RDY
RD/nWR
/RESET
PXTAL
PEXTAL
GP0
GP1
GP2
GP3
GP4
GP5
GP6
GP7
GP8
GP9
GP11
GP13
GP14
GP15
GP16
GP17
GP18
GP19
GP20
GP21
GP22
GP23
GP24
GP25
GP26
GP27
RxD_1
TxD_1
RxD_2
TxD_2
RxD_3
TxD_3
RxD_C
Dir
i/o
out
out
out
out
out
out
out
out
out
out
out
in
out
in
in
out
in
in
in
in
in
in
in
in
in
in
out
out
out
out
in
out
in
in
out
in
out
out
out
out
in
in
in
in
in
out
in
in
in
Explanation
Data-bus
Address-bus
SDRAM clock enable for CPU’s work memory
SDRAM clock for CPU’s work memory.(88.45MHz)
Not used though this is connected.(44.225MHz)
SDRAM RAS for CPU’s work memory
SDRAM CAS for CPU’s work memory
SDRAM CS for CPU’s work memory
SDRAM data out mask enable for CPU’s work memory
Output enable (/RD)
Write enable
Chip select
Data ready signal for /CS[5:3]
Read/write direction control
Hard reset. Active low.
Input for 3.686MHz crystal (non-CMOS threshold)
Output for 3.686MHz crystal (non-CMOS level)
Interrupt from Voice-LSI
Interrupt from SCSI controller (FAS236U)
Interrupt from IDE
Interrupt from USB-host controller (SL811HST)
Interrupt from effect board
Interrupt from MIDI (Voice-LSI)
Interrupt from USB-function controller (NET2890)
Interrupt from SMPTE option (MPC only)
Interrupt from FPGA
Interrupt from Voice-LSI
Initialize FPGA. active high.
DIMM SPDA for reading information.
DIMM SPCL for reading information.
LCD DISP off. Active low.(MPC only)
SCSI jack board recognition. Low=connected.
Control /PROGRAM pin of FPGA during configuration
effect board recognition. Low=connected.
FPGA /INIT. used during configuration.
D/A converter CDTI (control data input)
FPGA done. used during configuration.
Used for ROM emulator.
CPLD TDI. used during configuration in factory.
CPLD TMS. used during configuration in factory.
CPLD TCK. used during configuration in factory.
8 Para board recognition. Low=connected.
CPLD TDO. used during configuration in factory.
DIO board recognition. Low=connected.
SMPTE board recognition. Low=connected.
RxD. from panel(remocon) 230.4kbps.
TxD. to panel(remocon). 230.4kbps.
ADAT board recognition. Low=connected.
for future. (Low When ADAT board is exist now)
CD analog recognition. Low=connected.(MPC only)
SERVICE MANUAL
20
Signal name
TxD_C
SCLK_C
SFRM_C
LDD[7:0]
L_BIAS
L_PCLK
L_LCLK
L_FCLK
TXTAL
ROM_SEL
SMROM_EN
/PIOR,/PIOW
/IOIS16
TCK_BYP
TESTCLK
GP10,/PCE1
/PWAIT
BATT_FAULT
VDD_FAULT
VDD
VDDX
VSS
VSSX
Dir
in
in
in
out
out
out
out
out
in
in
in
out
in
in
out
-
Explanation
CD digital recognition. Low=connected.(MPC only)
BM1. Machine recognition. Low=Z4/8. High=MPC.
BM0. Connected GND now. for future.
LCD controller display data.
LCD ac bias drive.
LCD pixel clock.
LCD line clock.
LCD frame clock.
Not used.(Clock for CPU’s real-time clock)
ROM bus width select. Low=16bits.
SMROM enable. Low= disable.
PCMCIA I/o read/write for ROM Emulator
PCMCIA 16-bit I/O data transfers for ROM Emulator
Test pin
# Not used though this is connected #
Positive supply for the core. +1.75V
Positive supply for the pins. +3.3V
Ground supply.
Ground supply for the I/O pins.
1-3. IC3,4 HM5264165FTT (64Mbit SDRAM (4Mword x16bit))
Wave RAM for Voice LSI
The clock changes by the value of sampling frequency.
44.1kHz: 33.869MHz (= fsx768)
48kHz : 36.864MHz (= fsx768)
96kHz : 36.864MHz (= fsx384)
If there is no DIMM, SDRAM is placed in zero address.
If there is DIMM(s), SDRAM is placed in last address.
1-4. IC5,6 HY57V281620AT-P (128Mbit SDRAM (8Mword x16bit))
CPU work RAM
This clock is set to half of CPU core clock by software.
(“88.45MHz” When CPU clock is 176.9MHz.)
1-5. IC7 MBM29DL322TE90TN (32Mbit Flash ROM (2M word x16bits))
CPU Boot ROM
(If ROM-card is inserted in J7, system boot up from ROM card.)
SERVICE MANUAL
21
1-6. IC8 XC95144XL-7TQ100C (Complex programable logic (CPLD).
Address decoder.
Expansion port by using data-latch.
Communication logic for RTC.
Selector.
1-6-2. Pin Descriptions
Signal name
D[7:0]
A[24:21]
/CS[5:0]
/RD
/WR
/RESET
/GWR,/FWR
/GDB
/GDB2
ROMCARD
/FLASH_CS
/ROM_CS
/SCSI_CS
/USBF_CS
FPCCLK
/EB_CS
FP2_CCLK
/ADAT_CS
/SMPTE_CS
/DIO_CS
/LSI_RESET
/VO_PLLRST
/IDE_RESET
/ADAT_INIT
/EB_RESET
VO_SIN[1:0]
AD_DATA
DIO_RCVD
ADAT_RCVD
EB_RTN[3:2]
/SCSI_TERM
/ADA_CS
/ADA_PD
AD_DFS
DA_CCLK
/DA_CS
/DA_PD
/AD_CDSEL
MUTE
LSI_MCLK
RTC_D[7:0]
RTC_AS
RTC_DS
RTC_RW
/RTC_SEL
/RTC_XALM
WCKE[1:0]
SEL_XTAL0
SEL_XTAL1
MOTOR_ON
Dir
i/o
in
in
in
in
in
out
out
out
in
out
out
out
out
out
out
out
out
out
out
out
out
out
out
out
out
in
in
in
out
out
out
out
out
out
out
out
out
out
in
i/o
Explanation
Connected with CPU data bus for communication.
Connected with CPU address bus for communication.
Chip select for other device.
Data output enable of CPU data bus.
Data strobe of CPU data bus.
For initializing logic. Active low.
buffered /WR. (= /WR)
Gate of buffer for logic on CPU board.
Gate of buffer for logic except for CPU board.
ROM card. L: not inserted (normal), H: inserted.
Chip select for Flash-ROM. /CS0 OR (A24 XOR ROMCARD).
Chip select for ROM-card. /CS0 OR (/A24 XOR ROMCARD).
Chip select for SCSI controller. /CS5 OR A24.
Chip select for USB host controller. /CS5 OR /A24.
FPGA configuration clock on CPU PCB.
Chip select for DSP on effect PCB.
FPGA configuration clock on ADAT PCB.
Chip select for FPGA on ADAT PCB.
Chip select for controller on SMPTE PCB.
Chip select for CPLD on DIO PIB.
Reset Voice-LSI. Active low.
Reset PLL of Voice-LSI. Active low.
Reset IDE.
Initialize FPGA and IC on ADAT PCB. Active low.
Reset DSP on effect PCB. Active low.
Audio serial data to Voice-LSI’s SIN[1:0]
Analog-in audio data from CODEC (A/D at MPC).
Digital-in audio data from DIO PCB.
ADAT-in audio data from ADAT PCB.
Effect return.
SCSI termination. H:off, L:on.
Chip select for CODEC (A/D conv. at MPC).
/PD of CODEC and A/D on MPC.
DFS of a/d converter on MPC.
Control clock for CODEC (D/A conv. at MPC).
Chip select for dD/A converter at MPC.
/PD of D/a converter’s on MPC and DIO.
Select analog-out of CD player at MPC.
For mute circuit. H: mute on, L: mute off.
MCLK of Voice-LSI. Make synchronous reset for Voice LSI.
RTC data bus.
out
Control for RTC
out
out
out
out
Clock enable of wave SDRAM and DIMM.
X2 output enable. H: enable, L: disable.
X3 output enable. H: enable, L: disable.
Control fan on MPC.
SERVICE MANUAL
22
Signal name
/FP2_PROG
PLD2_TMS
PLD2_TDI
PLD2_TDO
TCK,TMS,TDI
TDO
VCCINT
VccIO
GND
Dir
out
Explanation
For configuration to FPGA on ADAT PCB.
out
For configuration to CPLD on DIO.
in
in
out
-
For configuration to CPLD on DIO.
For configuration.(TCK is also used for CPLD on DIO)
For configuration.
Positive supply for internal logic. +3.3V
Positive supply for output driver. +3.3V
Ground supply.
1-7. IC9 XC2S100-5TQ144C (Field Programmable Gate Arrays (FPGA))
IDE controller.
DMA controller between Voice-LSI and IDE/SCSI.
MIDI interface.
1-7-2. Pin Descriptions
Signal name
CD[15:0]
A[5:0]
/IDE_CS
/CPU_RD
/CPU_WR
RESET
LSI_D[15:0]
IDE_D[15:0]
IDE_DA[2:0]
/IDE_CS1,0
/IDE_IORD
/IDE_DIOW
IORDY
/IDE_DMACK
IDE_DMARQ
CPU_RDY
SCSI_DMAREQ
/SCSI_DMACK
LSI_DMAREQ
/LSI_DMAACK
/LSI_DMARD
/LSI_DMAWR
/INT_DMA
LRCK
SFrame
MIDI_IN1,2
MIDI_OUT1-4
/INT_MIDI
MCLK
CCLK
/INIT
/PROGRAM
DONE
M0,M1,M2
TCK,TMS,TDI
VCCINT
VccO
GND
Dir
i/o
in
in
in
in
in
i/o
i/o
out
out
Out
Out
in
out
in
out
in
out
out
in
in
in
out
in
out
in
out
out
in
in
i/o
in
i/o
in
in
-
Explanation
Connected with CPU bus for communication.
Connected with CPU address bus for communication.
Chip select for this FPGA.
Data output enable of CPU bus.
Data strobe of CPU bus.
Initialize FPGA logic. Active high.
Connected with DMA data bus of Voice-LSI.
Connected with data bus of IDE.
Connected with DA[2:0] of IDE I/F
Connected with CS[1:0] of IDE I/F.
Connected with /DIOR_HD of IDE I/F.
Connected with /DIOW_STOP of IDE I/F.
Connected with IORDY of IDE I/F.
Connected with /DMACK of IDE I/F.
Connected with DMARQ of IDE I/F.
Connected with CPU RDY.
Connected with SCSI controller. DMA control.
Connected with SCSI controller. DMA control.
Connected with Voice-LSI. DMA control.
Connected with Voice-LSI. DMA control.
Connected with Voice-LSI. DMA control.
Connected with Voice-LSI. DMA control.
Interrupt request output of DMA to CPU. Active low.
Word clock of system. Connected with Voice-LSI.
Pin No.133. Frequency= Fs/256. duty cycle= 255:1.
MIDI 2 input.
MIDI 4 output.
Interrupt request output of Midi to CPU. Active low.
Master clock of FPGA logic.
Configuration clock I/O pin.
For configuration. Active Low.
Initiates a configuration sequence when asserted Low.
Indicates that configuration is complete. open drain.
configuration mode pin.
# Not used though this is connected #
Power supply for internal core logic. +2.5V
Power supply for output driver. +3.3V
Ground supply.
SERVICE MANUAL
23
1-8. IC10 SL811HST (USB Host/Slave Controllers).
1-8-2. Pin Descriptions
Pin No.
3
4
5
6
7
8
9
16
17
18
19
21,39
27-29
31-33
40
42
43
44
45
15,41
9,20
30
Signal name
/WR
/CS
CM
USBVDD
DATA+
DATAUSBGND
CLK/X1
X2
/RST
INTRQ
Dir
in
in
in
i/o
i/o
in
out
in
out
Explanation
Write Strobe. Active low.
Chip select. Active low.
Clock mode. H: at 12MHz. L: at 48MHz clock source.
Power for USB Transceivers.
USB Differential Data Signal High Side.
USB Differential Data Signal Low Side.
Ground Connection for USB.
Clock or External Crystal X1 connection.
External Crystal X2 connection.
SL811HST Device reset. Active low.
Interrupt Request output. Active high.
D[7:0]
i/o
Connected with CPU bus for communication.
M/S
A0
/DACK
/DRQ
/RD
VDD
in
in
in
Out
in
-
Master/Slave Mode select. H: Slave. L: Master.
L:Addr.Pointer.Register. H:Data Buffer or Register.
DMA Acknowledge. Active low.
DMA Request. Active low.
Read Strobe Input. Active low.
Device VDD Power.
GND
-
Device Ground.
SERVICE MANUAL
24
1-9. IC11 FAS236U
(SCSI Controller)
1-9-2. Pin Descriptions
Pin No.
100
1
2
4
5
6
7
8-15
19-26
16,27
29-36
37
42-45
48-51
52
56
57
58
59
62
63
64
65
66
69
70
71
72
73
74,75
76
77
79
80
81
82-85
86
87
90-93
96-99
38,88
17,18,40
41,46,47
54,55,60
61,67,68
94,95
Signal name
DREQ
/DACK
/DBWR
IGS
DIFFSENS
TGS
EDIFFS
Dir
out
in
in
out
in
out
in
Explanation
DMA request. H: ready to transfer data.
DMA acknowledge.
Data-bus write control.
Indicates that chip is acting in initiator mode.
H: differential mode.
Indicates that chip is acting in target mode.
H: Enable “DIFFSENS” function.
DB[15:0]
i/o
DMA data-bus.
DBP[1:0]
/SDI[7:0]
/SDIP
i/o
in
in
DMA data-bus parity.
SCSI data bus.
SCSI data parity.
/SDO[7:0]
out
SCSI data bus.
/SDOP
/SELO
/BSYO
/REQO
/ACKO
/MSG
/CD
/IO
/ATN
/RSTO
/SELI
/BSYI
/REQI
/ACKI
/RSTI
MODE[1:0]
/INT
RESET
/WR
/RD
/CS
A[3:0]
CLK
/DIFFM
out
out
out
out
out
i/o
i/o
i/o
i/o
out
in
in
in
in
in
in
out
in
in
in
in
in
in
in
SCSI data parity.
SCSI bus select.
SCSI bus busy.
SCSI bus request.
SCSI bus acknowledge.
SCSI bus message phase.
SCSI bus control and data phase.
SCSI bus I/O phase.
SCSI bus attention.
SCSI bus reset.
SCSI bus select.
SCSI bus busy.
SCSI bus request.
SCSI bus acknowledge.
SCSI bus reset.
Bus configuration mode select.
Interrupt output.
Power reset.
Write control.
Read control.
Chip select.
Address bus.
Internal chip timing clock.
H: single-endes mode. L: differential mode.
PAD[7:0]
i/o
PAD bus. Connected with CPU for communication.
VDD
-
+5V DC power input.
Vss
-
GROUND. 0V DC power return.
SERVICE MANUAL
25
1-10
IC12, 16 ALVCH16245 (16 bit Bus bidirectional transceivers)
1-11
IC13-15 ALVCH16244 (16 bit Bus Buffers)
1-12
IC17, 18 LVC244 (8 bit Bus Buffers)
1-13
IC19 LVC245 (8 bit Bus bidirectional transceivers)
In particular, this is used for changing 5V into 3.3V.
1-14
IC20 M51957B (System Reset IC. Delay time is about 0.34 sec)
1-15
IC21, 22 NJM317 (Regulator IC)
IC21: regulate +2.5V for FPGA.
IC22: regulate +1.75V for CPU.
1-16. IC23 NET2890 (USB function controllers)
1-16-2. Pin Descriptions (PU:pull-up, PD:pull-down)
Pin No.
2
3,4
5-11
14
15
16
17
18
19
20
21
Signal name
/SOF
DP,DM
Dir
out
i/o
Explanation
Start of Frame. Active low.
USB data port. differential data.
bi-directional 8-bit data bus.
Connected with CPU for communication.
Chip select. Active low. (PU)
buffered clock output.
I/O read strobe. Active low. (PU)
I/O write strobe. Active low. (PU)
DMA request.
DMA acknowledge. Active low. (PU)
End of transfer. Active low. (PU)
Indicates that external logic is powered by USB bus.
Alternatively general input.
Indicates that external power supply used for self-powered mode is operational.
Alternatively general input.
Interrupt Request. Active low.
USB port output enable. Active low. (PU)
Device config. Alternatively general output. (PD)
Test. Connect this pin to ground. (PD)
Local reset. Active low. (PU)
Device suspended. Active low. (PU)
USB remote wakeup. Active low. (PU)
External reset. (PU)
Address bus.
48 MHz Oscillator input.
48 MHz Oscillator output.
Test output. Used for manufacturing test.
+3.3V or +5V DC power input for CPU I/F.
D[7:0]
i/o
/CS
LCLK
/IOR
/IOW
DRQ
/DACK
/EOT
in
out
in
in
out
in
in
22
/BUSPWR
in
23
/PWRGOOD
in
26
27
28
30
32
33
34
35
38-42
44
45
47
31
1,13,25
37,43
12,24,36
46,48
/IRQ
/USBOE
/DEVCFG
TEST
/LRESET
/SUSP
/WAKEUP
/RESET
A[4:0]
CLKIN
CLKOUT
TESTOUT
VDD_LOCAL
out
i/o
out
in
out
out
in
in
in
in
out
out
-
VDD
-
+3.3V DC power input for the core and USB I/F.
Vss
-
GROUND. 0V DC power return.
SERVICE MANUAL
26
Appendix: Installing storage devices (for service engineers)
This appendix explains how to install storage devices (ATA hard disk, CD-ROM drive, Zip drive, etc.) in the MPC4000.
Check the included items
The following items are included with the MPC4000 for use when installing storage devices. Check to make sure that no
items are missing.
■ Cables
• Flat cable for ATA drive
• Power cable for drive (without ferrite core)
• Power cable for drive (with ferrite core)
• Analog audio cable for CD-ROM
• Digital audio cable for CD-ROM
• Drive Mount Bracket (S)
• Drive Mount Bracket (L)
■ Other items
• Screws (inch) for attaching hard disk (4 pcs.)
• Screws (metric) for attaching hard disk (4 pcs.)
• Screw hole plugs (4 pcs.)
• Screws (metric) for attaching Drive Mount Bracket (8 pcs.)
Opening the top panel
When installing a storage device, use the following procedure to open the top panel of the MPC4000.
1. Loosen the screws that fasten the side panels (four on each side, left and right), and remove the side panels.
2. Remove the screws (five on each side, left and right) that fasten each side of the top panel to the chassis.
SERVICE MANUAL
27
3. Remove the screw (located in the center of the front panel) that fastens the top panel from the lower front side.
4. Remove the screw (located in the center of rear panel) that fastens the top panel from the rear side.
5. Use both hands to lift the protrusion on the front of the top panel, and open the top panel.
SERVICE MANUAL
28
6. Use a metal rod or similar object as a prop inside the chassis to hold up the top panel.
The side panels and screws you removed must be kept in a safe place.
To close the top panel, re-tighten the screws in the opposite order to which they were removed.
Installing a drive
1. If you are installing a removable-media drive such as a CD-ROM drive or Zip drive, remove the blank panel from the
front panel.
2. Use the hard disk attachment screws (included with the MPC4000) to attach the drive to the bracket, and attach the
bracket to the bottom panel of the chassis. Use the bracket in the correct direction (as illustrated or on reverse side)
according to the drive mounted.
When installing a drive in the 3.5 inch bay
Bracket (S)
PC IO AD_DA
P7
P8
PC IO
PC CPU
SERVICE MANUAL
29
When installing a drive in the 5 inch bay
Bracket (L)
PC IO
PC IO AD_DA
P23
P7
P8
P2
PC CPU
Cable connections
Connecting the flat cable
1. Remove two screws from the cover that conceals the PC CPU board.
When you remove the cover, you will see the P2 connector for the ATA drive.
Bracket (S)
PC IO AD_DA
P7
P8
PC IO
P2
Step 1
PC CPU
2. 3Insert the blue connector of the included flat cable into the P2 connector of the PC CPU board.
3. Reattach the cover that you removed.
4. Connect the other end of the flat cable to the drive.
SERVICE MANUAL
30
Connecting the power cable
1. Insert the connector of the included power cable into the P7 connector or P8 connector of the PC I/O board.
Use the power cable with the ferrite core if you are installing a hard disk. Use the power cable without a ferrite
core if you are installing a Zip drive or CD-ROM drive.
2. Connect the other end of the power cable to the drive.
Connecting the CD-ROM analog audio cable
If the CD-ROM drive has an analog audio output connector, connect it to the MPC4000’s circuit board as follows.
1. Insert the connector of the included CD-ROM analog audio cable into the P23 connector of the PC I/O ADDA
board.
2. Insert the other end of the CD-ROM analog audio cable into the analog audio output connector of the CD-ROM
drive.
Make connections so that the red cable goes to R (right channel) and the white cable to L (left channel).
3. On the PC I/O ADDA board, plug in the P22 jumper (located in front of P23) at the “SET” position.
Connecting the CD-ROM digital audio cable
If the IB-4D digital I/O option is installed in the MPC4000, connect the digital audio output connector of the CD-ROM
drive to the IB-4D as follows.
1. Insert the connector of the included CD-ROM digital audio cable into the digital audio output connector of the CDROM drive.
Make connections so that the black cable is the ground.
2. Insert the other end of the CD-ROM digital audio cable into the P1 connector of the IB-4D digital I/O option.
3. On the IB-4D circuit board, plug in the JP1 jumper in the 1-2 (SHORT) position.
* If you connect the analog/digital audio cable and make the correct jumper setting, you will be able to select the
CD-ROM playback as the recording source in RECORD mode.
Master/slave settings for ATA drives
If you install two drives, you must set one as the master and the other as the slave. (If a hard disk is installed, set the
hard disk as the master.)
For details on how to make master/slave settings, refer to the manual that came with your drive.
Installing Memory Board
The memory slots are on the CPU board and their 2 long DIMM sockets are located on the right-hand side of the unit towards
the rear.
Insert the memory board securely in the correct direction. When only one memory board is installed, it can be installed on either
slot.
The required memory board is 168-pin DIMM (PC133/PC100, CL2).
* 256Mbit x 8 ICs type 256Mbyte DIMM is not supported. 128Mbit x 16 ICs type is recommended.
SERVICE MANUAL
31
! Installation - MPC4000
1. Remove the fixing screws of the MPC4000 Side Panels (4pcs. on each side) and remove Side Panels. Next,
remove the screws (5pcs. on each side) hidden by the Side Panels and then remov e the center screws (2pcs.)
located underneath the Armrest and the topmost of Rear Panel. The Top Panel Block can be swing-opened by
lifting the Armrest from the front. Save the removed screws.
2. Remove the fixing screws (4pcs.) for the Mask Plate (lower slot) on the Rear Panel and remove the Mask Plate.
Save the screws for later use. The Mask Plate is not used.
3. Referring to the illustration, remove the fixing screw (1pc.) on the I/O board and install the Mounting Post (S) in
its place. Save the screw for later use.
4. Set the IB-48P on the Rear Panel and Mounting Posts and fix it securely with the screws removed in earlier
steps and the Fixing Screw (gold) included.
5. Connect the cable from the IB-48P board to the connector (P2) on the I/O board.
6. Replace and fix the Top Panel Block and Side Panels in the opposite order to which they were removed.
✽ Be sure to fix the screws and connectors securely to avoid malfunctioning.
MPC4000
IB-48P
P2
P4
PC IO
SERVICE MANUAL
32
! Installation - MPC4000
1. Remove the fixing screws of the MPC4000 Side Panels (4pcs. on each side) and remove Side Panels. Next,
remove the screws (5pcs. on each side) hidden by the Side Panels and then remove the center screws (2pcs.)
located underneath the Armrest and the to pmost of Rear Panel. The Top Panel Block can be swing-opened by
lifting the Armrest from the front. Save the removed screws.
2. Remove the fixing screws (2pcs.) for the Mask Plate on the Rear Panel and remove the Mask Plate. Save the
screws for later use. The Mask Plate is not used.
3. Referring to the illustration, set the IB-4D on the Rear Panel and Mounting Post and fix it securely with the screws
removed in earlier step and the Fixing Screw included.
4. Connect the cable from IB-4D board to the connector (P3) on the I/O board.
5. Replace and fix the Top Panel Block and Side Panels in the opposite order to which they were removed.
✽ Be sure to fix the screws and connectors securely to avoid malfunctioning.
MPC4000
IB-4D
P3
PC IO
SERVICE MANUAL
33
! Installation – MPC4000
1. Remove the fixing screws of the MPC4000 Side Panels (4pcs. on each side) and remove Side Panels. Next,
remove the screws (5pcs. on each side) hidden by the Side Panels and then remove the center screws (2pcs.)
located underneath the Armrest and the topmost of Rear Panel. The Top Panel Block can be swing-opened by
lifting the Armrest from the front. Save the removed screws.
2. Remove the fixing screws (4pcs.) for the Mask Plate (upper slot) on the Rear Panel and remove the Mask Plate.
Save the screws for later use. The Mask Plate is not used.
3. Referring to the illustration, remove the fixing screw (1pc.) on the I/O board and install the Mounting Post (S) in
its place. Then extend the 2 Mounting Posts with the 2 Mounting Posts (L) included.
4. Set the IB-48P on the Rear Panel and Mounting Posts and fix it securely with the screws removed in earlier
step and the Fixing Screws (gold, 2pcs.) included.
5. Connect the cable from the IB-4ADT board to the connector (P4) on the I/O board.
6. Replace and fix the Top Panel Block and Side Panels in the opposite order to which they were removed.
Note 1: When the IB-48P 8-Individual Output board is installed at the lower slot, remove the fixing screws (2pcs.) of the
Mounting Posts for the IB-48P and re place them with the 2 Mounting Posts (L) included and mount the IB-4ADT on them.
Note 2: On the MPC4000 with IB-4D SP-DIF Digital Interface Board installed, Connect the 8-pin Connecting Cable
included between the IB-4D (P2) and IB-4ADT (P1) boards.
✽ Be sure to fix the screws and connectors securely to avoid malfunctioning.
MPC4000
IB-4ADT
P2
P4
PC IO
SERVICE MANUAL
34
1-3, Hiranuma 1-Chome, Nishi-Ku, Yokohama, Japan
SERVICE SECT.
PHONE : +81-45-412-2373 FAX : +81-45-412-2372
SERVICE MANUAL
35
MPC4000
OPERATION
BLOCK DIAGRAM
MPC4000
PC IO
BLOCK DIAGRAM
MPC4000
AD DA
BLOCK DIAGRAM
5
4
3
2
1
SEND[0..5]
B-RET12
B-RET13
B-RET14
F1
D171
GMA01
F2
D172
GMA01
F3
D173
GMA01
F4
D174
GMA01
3pcs
RED
SW176
1
2
F5
D175
GMA01
B-SEND5
F6
D176
GMA01
B-SEND4
MULTI
D148
GMA01
SW140
1
2
SW141
1
2
SW142
1
2
7
D140
GMA01
8
D141
GMA01
9
D142
GMA01
SW134
1
2
SW135
1
2
SW136
1
2
4
D134
GMA01
5
D135
GMA01
6
D136
GMA01
SW143
1
2
SW144
1
2
SW145
1
2
MIXER
D144
GMA01
E.PRG
D145
GMA01
SW137
1
2
SW138
1
2
SW139
1
2
STEP E.
D137
GMA01
UTLIS2
D138
GMA01
SEQ EDIT
D143
GMA01
SEND1
L4016A504B
L4016A503A
23pcs
SW148
1
2
PAD ASN.
D147
GMA01
SEND0
L4016(3)
EMIFIL
FL351
GREEN
SW175
1
2
EMIFIL
FL350
SW174
1
2
SW147
1
2
MAIN
D146
GMA01
EMIFIL
FL352
SW173
1
2
SW146
1
2
1
2
3
4
5
6
EMIFIL
FL353
SW172
1
2
1
2
3
4
5
6
EMIFIL
FL354
SW171
1
2
LED
P20
S6B-PH-K(L-TYPE)
W170
GND
D354 HZS7A1L
INV
E.SAMPLE
D139
GMA01
LCD
15 VFL
16 VFL1
SEND5
D353 HZS7A1L
SEND4
SEND2
D
D
SW128
1
2
SW129
1
2
SW130
1
2
SW131
1
2
SW132
1
2
SW133
1
2
1
D128
GMA01
2
D129
GMA01
3
D130
GMA01
MISC
D131
GMA01
LOAD
D132
GMA01
D351 HZS7A1L
SW122
1
2
SW123
1
2
SW124
1
2
SW125
1
2
SW126
1
2
SW127
1
2
D350 HZS7A1L
CANCEL
D122
GMA01
0
D123
GMA01
ENTER
D124
GMA01
SONG
D125
GMA01
SAVE
D126
GMA01
UTILS
D127
GMA01
SEND[0..5]
SEND3
D352 HZS7A1L
GREEN
GREEN
GREEN
GREEN
GREEN
PAD BANK A
PAD BANK B
PAD BANK C
PAD BANK D
PAD PLAY A
PAD PLAY B
PAD PLAY C
PAD PLAY D
SW150
1
2
SW151
1
2
SW152
1
2
SW153
1
2
SW154
1
2
SW155
1
2
SW156
1
2
D149
GMA01
D150
GMA01
D151
GMA01
D152
GMA01
D153
GMA01
D154
GMA01
D155
GMA01
D156
GMA01
SEND4
SEND[0..5]
NEXT SEQUENCE
D157
GMA01
TR206
DTA1 14ESA
+5V
TR208
DTA1 14ESA
TR209
DTA1 14ESA
SEND5
+5V
TR207
DTA11 4ESA
SEND4
SEND3
SEND2
+5V
+5V
B(MAX)
A(MAX)
B(TAP)
A(TAP)
AB(MIN)
DUMMY
473
473
RK14K124
6
5
4
3
2
1
AN7
473
C
D220
GREEN
D221
GREEN
D222
GREEN
D226
GREEN
D224
GREEN
GREEN
D214
GREEN
GREEN
R204
RET4
Q-LINK Q3
D167
GMA01
RET6
14
13
12
11
10
9
8
7
6
5
4
3
2
1
SW115
1
2
SW116
1
2
DOWN
D114
GMA01
RIGHT
D115
GMA01
v
D116
GMA01
D215
GREEN
D216
GREEN
D217
GREEN
D218
GREEN
D219
GREEN
R203
RET5
SW168
1
2
SW106
1
2
SW107
1
2
SW108
1
2
SW109
1
2
SW110
1
2
SW111
1
2
UNDO
D106
GMA01
<
D107
GMA01
>
D108
GMA01
GOTO
D109
GMA01
<<
D110
GMA01
>>
D111
GMA01
75
TR203
DTC114ESA LED3
SW159
1
2 RET14
Q-LINK Q4
D168
GMA01
D208
GREEN
D209
GREEN
D210
GREEN
D211
GREEN
D212
GREEN
+5V
R202
TR202
DTC114ESA LED2
D204
GREEN
D205
GREEN
D227
GREEN
D206
GREEN
R201
SW100
1
2
SW101
1
2
TAP
D100
GMA01
REC
D101
GMA01
100
TR201
DTC114ESA LED1
RED
J400
14FE-ST-VK-N 14P
GREEN
D202
D200
75
+5V
D224
SW102
1
2
SW103
1
2
SW104
1
2
STOP
D103
GMA01
PLAY
D104
GMA01
OVERDUB
D102
GMA01
SW105
1
2
PLAY/START
D105
GMA01
SEND0
L4016A504C
GREEN
D201
RED
D202
RED
C303
ERASE
D161
GMA01
D305
GMA01
3
M5238AL
R302
IC22
GND
C304
560P
GREEN
GND
D227
C313
SW162
1
2 RET13
Q-LINK Q2
D170
GMA01
R303
4.7K
47P
D300
GMA01
D301
GMA01
D302
GMA01
D303
GMA01
K15
K3
PB1
3
D310
GMA01
D311
GMA01
D312
GMA01
D313
GMA01
K14
PB2
5
D320
GMA01
D321
GMA01
D322
GMA01
D323
GMA01
K13
K11
PB0
IC350A
1
K7
HC04
IC350B
HC04
IC350C
+5V
R311
NOTE REPEAT
D162
GMA01
AN1
SEND3
R310
470
D314
GMA01
20K
IC300B
7
D315
GMA01
M5238AL
-
6
+
5
C312
103
R312
4.7K
GND
C314
560P
R313
4.7K
47P
+5V
AN2
R320
470
D324
GMA01
D325
GMA01
B
R321
20K
IC320A
1
-
2
+
3
M5238AL
C322
103
R322
4.7K
GND
C324
560P
D330
GMA01
D331
GMA01
D332
GMA01
D333
GMA01
R323
4.7K
GND
C333
47P
+5V
R331
AN3
R330
470
D334
GMA01
20K
IC320B
7
D335
GMA01
M5238AL
-
6
+
5
R332
PB3
9
K6
4
PB1N
6
PB2N
473
C301
K5
K1
473
8
K11
K10
K9
K8
-V
IC300C
M5238AL
K7
K6
K5
K4
-12V
K3
K2
K1
K0
+12V
K4
C320
473
C321
473
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
+V
C350
473
GND
K15
K14
K13
K12
PB0
K11
K10
K9
K8
PB1
K7
K6
K5
K4
PB2
K3
K2
K1
K0
PB3
PB0
PB1
PB2
PB3
+5V
ENCORDER
P30
1
2
3
4
MTXD
MRXD
ENC-B
C30
H8S/2132(BOT)
104
C334
560P
R333
4.7K
SEND1
SEND0
SEND2
SEND5
SEND3
SEND4
+5V
GND
P41/TMO0/RXD2
P40/TMCIO/TXD2
AVSS
P77/AN7/DA1
P76/AN6/DA0
P75/AN5
P74/AN4
P73/AN3
P72/AN2
P71/AN1
P70/AN0
AVCC
P67/KIN7/IRQ7
P66/KIN6/IRQ6
P65/CIN5/KIN5
P64/CIN4/KIN4
P63/CIN3/KIN3
P62/CIN2/KIN2
P61/CIN1/KIN1
P60/CIN0/KIN0
C22
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
REC16B
AN5
AN4
AN3
AN2
AN1
AN0
GND
R25
IC24
1
C24
104
3
20FR-ST
+V
OUT
GND
NC
C
X20
+12V
2
4
C20
-12V
C21
+12V
C25
104
15p
+5V
+5V
15p
GND
GND
GND
C41
104
C40
104
W40
L4016(1)
1
2
3
4
5
6
7
8
9
10
11
103
GND
1
2
3
4
Foot1
MTXD
MRXD
L4016A504A
W50
Foot2
10K
14.7456MHZ
GND
B
L4016(2)
Pin No.5 is
GND on the PC IO side
GND
+5V
C42 GND
104
K[0..15]
GND
4
3
2
1
L4016(5)
PGMN
C26
5
M51953
IC320C
M5238AL
R22
0
R24 10K
W300
GND
AN9
AN8
AN7
AN6
104
0V
B
NC
NC
+5V
A
1
2
3
4
5
6
RE300 REC16B25-2 01-C
+5V
-12V
C332
103
A3/P13
A2/P12
A1/P11
A0/P10
D0/P30
D1/P31
D2/P32
D3/P33
D4/P34
D5/P35
D6/P36
D7/P37
VSS3
PB0
PB1
PB2
PB3
PB4/TXD1/IRQ3
PB5/RXD1/IRQ4
PB6/SCK1/IRQ5
B4BEH
-V
GND
GND
12
HC04
P300
K8
K0
10
PB3N
K15
K14
K13
K12
K12
4.7K
+5V
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
ENC-A
+V
GND
HC04
IC350F
RET3
RET2
RET1
RET0
LED0
LED1
LED2
LED3
LED4
GND
HC04
C300
IC350E
13
K2
K9
PB0N
11
+12V
GND
C323
HC04
IC350D
K10
2
8
RET15
SW170
1
2
4.7K
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
2
+
4
RET14
20K
-
C302
103
GREEN
D211
R301
IC300A
1
8
GND
R300
470
D304
GMA01
A4/P14
A5/P15
A6/P16
A7/P17
VSS2
P20/A8
P21/A9
P22/A10
P23/A11
P24/A12
P25/A13
P26/A14
P27/A15
VCC1
P47/PWX1
P46/PWX0
P45/TMRI1
P44/TMO1
P43/TMCI1
P42/TMRI0/SCK2
AN0
RES
XTAL
EXTAL
MD1
MD0
NMI
STBY
VCC2
P52/SCK0
P51/RXD0
P50/TXD0
VSS1
P97/WAIT
P96/SYSCK/EXCL
P95/AS/IOS
P94/WR
P93/RD
P92/IRQ0
P91/IRQ1
P90/IRQ2/ADTR
D226
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
GREEN
Q-LINK Q1
D169
GMA01
+5V
GND
+5V
SW161
1
2 RET12
SW169
1
2
47P
RET8
RET9
RET10
RET11
RET12
RET13
RET14
RET15
TR200
DTC114ESA LED0
16 LEVELS
D160
GMA01
C202
473
AN5
GND
270
SW160
1
2 RET15
VR202
AN4
GREEN
R200
4
1
D200
RED
RET4
RET5
RET6
RET7
1MAX
2MAX
C203
473
1TAP
1MIN
1MAX
C200
473
2TAP
2MIN
2TAP
2MIN
2
VR200
1TAP
1MIN
2MAX
D205
C201
473
D217
1MAX
C
SEND1
RED
D213
GREEN
FULL LEVEL
D159
GMA01
D203
GREEN
1
1TAP
1MIN
1
R401
22K
L3055 W12 JB-51 0215P
D210
SEND5
2
3
RET5
RET4
RET3
RET2
SW114
1
2
SHIFT
D113
GMA01
2
RET7
RET8
RET9
RET10
SW113
1
2
TEMPO
D112
GMA01
R403
18K
D201
75
GREEN
D206
SW167
1
2
SW112
1
2
5
R400
33K
RED
D225
GREEN
TR204
DTC114ESA LED4
GND
D213
R402
3.9K
1
2
3
4
5
SEND2
TRACK MUTE
D158
GMA01
RK14K124
GND
^
D121
GMA01
SW158
1
2 RET13
C206 C207
473
UP
D120
GMA01
D218
TR210
DTA1 14ESA
D216
AN6
LEFT
D119
GMA01
WINDOW
D118
GMA01
4
W400
GREEN
C204 C205
SW121
1
2
LCDFG
INVGND
INV5V
LCDGND
LCD5V
LCD-24V
LCD_D3
LCD_D2
LCD_D1
LCD_D0
DISPOFF
CL2
CL1
FLM
6
5
4
3
2
1
+5V
TR205
DTA1 14ESA
VR206
SW120
1
2
GREEN
+5V
VR204
B(MAX)
A(MAX)
B(TAP)
A(TAP)
AB(MIN)
DUMMY
SEND1
+5V
+5V
SW119
1
2
SEND3
Q-LINK Q6
D166
GMA01
SEND0
Q-LINK Q5
D165
GMA01
SW118
1
2
TIMMING
D117
GMA01
SW157
1
2 RET12
RET3
SW166
1
2
RET1
SW117
1
2
14
13
12
11
10
9
8
7
6
5
4
3
2
1
GREEN
D225
RET2
RET0
D212
GREEN
GREEN
VR400
RK09K113AF2 5B14 B103
W401
L3055 W16 JB-EH 14P
GREEN
RET[0..15]
D222
SW165
1
2
RET11
RET14
RET13
RET15
473
RET14
473
RET12
AN9
C210 C211
GND
D219
RECORD
D133
GMA01
D221
SW149
1
2
RK14K124
GND
D215
RET13
473
D209
RET12
473
RK14K124
GREEN
D204
RET15
AN8
C208 C209
6
5
4
3
2
1
D220
RET14
B(MAX)
A(MAX)
B(TAP)
A(TAP)
AB(MIN)
DUMMY
GREEN
RET12
6
5
4
3
2
1
D214
14 FGND
13 VO
12 VLC-24V
11 VSS-GND
10 VDD+5V
9 D3
8 D2
7 D1
6 D0
5 DISPOFF
4 CL1
3 CL1
2M
1 FLM
+5V
VR210
B(MAX)
A(MAX)
B(TAP)
A(TAP)
AB(MIN)
DUMMY
D208
GREEN
+5V
VR208
D203
SEND1
Q-LINK ASSIGN
D164
GMA01
RET13
SEND5
SEND2
SW164
1
2
RET0
Q-LINK SETUP
D163
GMA01
SEND0
RET1
SW163
1
2
-12V
C401 C402 C403 C404 C405 C406 C407 C408 C409 C410 C411
104
104
104
104
104
104
104
104
104
104
104
GND
C204 100p
R202
1.8k
8.2k
3
2
1TAP
1MAX
1
1MIN
C420 C421 C422 C423
16k
2 -
VR200
RK0971220 L15
+12V
R206
1
3 +
R204
TR200
2SC3327
4.7k
IC200A
M5216L
R210
100/1w
C206
C208
150p
4700p
R212
1
2
3
4
TR202
2SC3327
10k
WIRE ASSY L4016(6)
3MAX
2TAP
2MIN
USB5V
USBDATAUSBDATA +
USBGND
J250
YKF45-008(USB TYPE-A)
FL250
EMIFIL
FL251
EMIFIL
1
2
3
4
VCC
-DATA
+DATA
GND
10/16 10/16 10/16 10/16
C424
10/16
-12V
R208
330
+12V
PS200
RUE110
W250
FG1
FG2
FG3
10/25
R200
GND
5
6
7
C202
C250
473
W200
6
5
4
J200
1
2
3
4
5
6
7
8
C205 100p
C203
10/25
R201
R203
1.8k
8.2k
GND
R207
A
5 +
L4016(4)
GND
R205
4.7k
C210
4R7/50
TR281
2SC3327
IC200B
M5216L
7
R211
100/1w
A
C207
C209
150p
4700p
R213
MPC4000
TR203
2SC3327
10k
R209
330
PC OPERATION & PC(#)
OTHERS---A/B/C/D
SCHEMTIC DIAGRAM
8
+12V
+V
-V
GND
C211
4R7/50
4
-12V
C212
104
16k
6 -
IC200C
M5216L
GND
-12V
L4016A504D
B103X2
5
4
3
2
1
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
D+5V
D+5V
PH 4P
R5 220
12
DGNG
MSPEED
C55
47/25
74HCT32
IC13D 74HCT32
A
R30
0.047
C66
C65 0.047
C69
0.047
DSS306-101
FL15
DSS306-101
FL14
FL12
DSS306-101
FL11
DSS306-101
Vcc
3
D2
1SS133T
6
5
4
PC400
C89
4.7/50
D8
1SS133T
5
R96
E
R93
10K
C90
C83
10u
0.1
R92
10K
nINT_mLAN
nOPT_mLAN
10/50
8
LTCIN+
LTCINCLICK
FRAME
RESET
INTR
D7
D6
D5
D4
D3
IC11
ICS2008A
IC16D
39 SD2
38 SD1
37 SD0
36
35
34
33
32
31
30
29
D2
D1
D0
IOW
VDD
VSS
IOR
UARTSC
SMPTECS
A1
A0
D+5V
74LVC32
13
IB3 33x4
2
3
4
5
6
7
8
9
IB4 33x4
20
nXWRB
11
12
C82
4
LF353
-15V
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
MIDI_OUT2
MIDI_OUT3
nINIT
nFP_PROG
FP_DOUT
nOPTATAT
nCS_DA
nOPT_8PARA
10
GND
LRCK
C96
0.1
DFS
nCD_ANA
10
D+3.3V
IC16C 74LVC32
IC16A 74LVC32
2
33x4
XA1
13
IC9D
3
1
6
C87
10/50
7
0.1
C39 C40
C402
3.3/50
C70
FS
nADA_CSB
DA_CCLKB
DA_CDTIB
SOUT0B
DIORCVB
ADAT_SINB
nXOEB
nXWRB
D+3.3V
-15V
D+3.3V
22P 22P
IB2
BL03
C84 0.1
14
R71
47K
47K
VCC
GND
B
Y
A
10
9
F
IC14C
ADAT_SINB
nXOEB
nXWRB
7
R74
8
33x4
33
12
IC14D 74LVC32
20
C77
DIO_RCB
a1
SD0
a2
SD1
a3
SD3
a4
SD5
a5
SD7
a6
SDP
a7
BUY
a8
RST
a9
SEL
a10
C/D
a11
I/O
OPT_SCSI a12
a13
USBF_BPWPa14
a15
a16
+12V
a17
a18
UDC+
MIDI_IN0
a19
MIDI_OUT0 a20
MIDI_OUT1 a21
a22
ADAT_CS
ADAT_SIN a23
a24
FP_CCLK a25
a26
FP_INIT
FP_DONE a27
SDA12
a28
SDA34
a29
SDA78
a30
a31
DA_PD
384FS
a32
BCK
a33
DA_CCLK a34
DA_CDTI a35
ADA_PD a36
CD_SELAD a37
DA_MAIN a38
MUTE
a39
+12V
a40
B1
B2
B3
B4
B5
B6
B7
B8
A1
A2
A3
A4
A5
A6
A7
A8
18
17
16
15
14
13
12
11
nADA_CS
DA_CCLK
DA_CDTI
SOUT0
DIO_RCV
ADAT_SIN
nXOE
nXWR
GND
A-12V
nSD0
nSD1
nSD3
nSD5
nSD7
nSDP
nBSY
nRST
nSEL
nCD
nIO
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
TPWR FL49
nATN FL40
nmLAN_CS
nBSY FL41
nACK FL42
nRST FL43
nMSG FL44
nSEL FL45
nCD FL46
nREQ FL47
nIO FL48
MIDI_IN0
MIDI_OUT0
MIDI_OUT1
nCS_ADAT
ADAT_SINB
nFP_DIN
FP_CCLK
nFP_INIT
FP_DOWN
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
DB0
DB1
DB2
DB3
DB4
DB5
DB6
DB7
DBP
GND
GND
TPWR
GND
ATN
GND
BSY
ACK
RST
MSG
SEL
C/D
REQ
I/O
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
A+12V
2
A+12V
C400
10/50
SCSI_50P
R31
33
FP_CCLK
nFP_INIT
FP_DOWN
H
ADAT_SIN
R32
R33
33
33
DA_PD
0.1
P3
1
D+5V
D+5V
2
D+5V
D+5V
3
4
5 DGND
DGND
6
7 DGND
DGND
8
10
9
XD0
XD1
11 XD2
XD3
12
13 XD4
XD5
14
15 XD6
XD7
16
17 DGND
XA1
18
19 XA2
20
CS_DIO
21 XRD
22
XWR
23 RESET
24
CD_SEL
25 DGND
PLD_TCK 26
27 PLD_TMS PLD_TDI 28
29 PLD_TDO DIO_RCVD 30
31 DIO_TRND DGND
32
33
LRCK
DGND
34
35
BCK
DGND
36
37
384FS
DGND
38
39 CD_DIG OPT_DIO 40
C60
47/25
A-15V
33
33
R38
R39
R40
R41
R70
R69
nCS_ADAT
nXWRB
nINIT
nFP_DIN
nFP_PROG
FP_DOUT
33
33
33
33
150
33
XD0
XD2
XD4
XD6
P2
R99
33
R42
R43
R44
R45
R47
R46
33
33
33
33
33
33
1
A+15V
3
AGND
5
A-15V
7
AGND
9
MUTE
11 DA_CS
13 DA_CDTI
15 SDA34
17 SDA78
19
LRCK
21
BCK
23
384FS
25
A+15V
AGND
A-15V
AGND
DA_PD
DA_CCLK
SDA12
SDA56
DGND
DGND
DGND
DGND
OPT8PARA
2
4
6
8
10
12
14
16
18
20
22
24
26
R52
R53
R54
33
33
33
XA2
33
R55
nXOE
nRESET_3VB
R56
R57
R58
R59
nOPT_8PARA
P_8PARA
33
33
33
33
33
33
nCS_DIO
nXWR
nCS_ADAB
R62
DIO_RCV
33
nCD_SEL
nCD_ANA
1
3
5
7
9
11
13
15
17
19
21
23
25
+15V
GND
-15V
GND
GND
GND
GND
GND
CS_DA
SDATA
SOUTO
CD_SEL
CD_ANA
+15V
GND
-15V
MUTE
FS304
BTCK
LRCK
TDI/CDTI
CCLK
GND
DAPD
DFS
ADPD
2
4
6
8
10
12
14
16
18
20
22
24
26
10
19
1
nDA_PD
DFS
nAD_PD
RTCD2
RTCD4
RTCD6
P_ADDA
nOPT_DIO
P_DIO
DIO_RCB
R25
33
D+5V
nOPT_SMPTE
IC10
1
2
3
4
NC
NC
NC
VCC
NC
OUT
GND RES C
D+5V
I
R72
4.7K
8
7
6
5
XD1
2
M51953BFP
IC9B
IC5C
6
5
C15 0.1
P_CPUPOWER
C22 47/25
1
2
3
4
5
6
C16 0.1
D+5V
D+5V
DGNG
DGND
D+12V
D+12V
6
P7
P8
D+5V
DGNG
DGND
D+12V
1
2
3
4
P_DRIVEP
D+5V
DGNG
DGND
D+12V
1
2
3
4
9
nRESET_3V
10
D+3.3V
P_DRIVEP
14
XA3
XA5
XA7
74HCT04
RESET_5V
LVCO8
C23 47/25
C13 0.1
0.1
C20 47/25
0.1
C14 0.1
FB16
DSS310-222
P6
XD11
XD13
XD15
nFAN_ON
5
C21 47/25
47/25
C19
C36
47/25
10/25
C35
FGND
0.1
C12
4
FAN_ON
FL18
DSS310-222
C10
C11
0.047
FB19
DSS310-222
FB17
DSS310-222
A+15V
C37
C74
J
C9
0.1
D+12V
P_POWER
LVC08
C41
3.3/50
10/25
D+5V
D+5V
D+5V
GND
GND
GND
D+12V
D+12V
A+15V
A-15V
XD4
XD6
XD8
3
P5
1
2
3
4
5
6
7
8
9
10
IC9A
1
IC9C
A
IC5E
LVC08
Y
B
GND
VCC
C43
8
7
IC5D
11
10
nRESET_3V
9
8
74HCT04
nRESET_5V
100PF
R400
10K
8
D
IC60A
R406
51
5532L
4
R402 A-12V
100k
A+12V
C404
3.3/50
C407
R401
SPUJ2
100PF
C405
3.3/50
A-12V
10K
A+12V
6
8
R407
7
SDA12B
SDA34B
SDA56B
SDA78B
nDA_CSB
LRCKB
BCKB
384FSB
D+3.3V
2
3
4
5
6
7
8
9
R404
330
A1
A2
A3
A4
A5
A6
A7
A8
VCC
18
17
16
15
14
13
12
11
B1
B2
B3
B4
B5
B6
B7
B8
SD12
SD34
SD56
SD78
nDA_CS
LRCK
BCK
384FS
51
R405
100k
F
nCS_DA
LRCK
L4016A502C
10
GND
D+5V
b1
DGND
LCD_D0
a1
b2
LCD_D2
LCD_D1
a2
b3
DISP_OFF LCD_D3
a3
b4 LCD_CLK1 LCD_CLK2 a4
b5
DGND
LCD_FLM a5
b6
RTC_D2
RTC_D0
a6
b7
RTC_D4
RTC_D1
a7
b8
RTC_D6
RTC_D3
a8
b9
DGND
RTC_D5
a9
b10
RTC_D7 a10
b11 XALM_SEL
RTC_SEL a11
b12 RTC_DS
RTC_AS a12
b13 DGND
RTC_RW a13
b14 RTC_XIRQ
RTC_IRQ a14
b15 DIO_RCVDT DIO_CS
a15
b16 CD_DIG
CD_SEL a16
b17 DGND
OPT_DIO a17
b18 PLD_TMS
PLD_TCK a18
b19 PLD_TDO
PLD_TDI a19
b20 OPT_SMPTE SMPTE_CS a20
b21 DGND
SMPTE_INT a21
b22 PIOW
a22
PIOR
b23 PCF1
a23
PWAIT
a24
b24
XD1
XD0
b25 DGND
XD2
a25
b26
XD4
XD3
a26
b27
XD6
XD5
a27
b28
XD8
XD7
a28
b29 DGND
XD9
a29
b30
XD11
XD10
a30
XD13
XD12
a31
b31
b32
XD15
XD14
a32
b33 DGND
XA1
a33
b34
XA3
XA2
a34
XA5
XA4
a35
b35
b36
XA7
XA6
a36
b37 DGND
XA8
a37
b38
XA9
a38
b39 RESET
a39
CRD
b40
BM0
a40
CWR
J8
J8_MOTHER
IC2
RTCD0
RTCD1
RTCD3
RTCD5
RTCD7
RTCD0
RTCD1
RTCD2
RTCD3
RTCD4
RTCD5
RTCD6
RTCD7
J4
D+5V
C4
0.1
1G
A1
A2
A3
A4
A5
A6
A7
A8
GND
0.1
20
19
18
17
16
15
14
13
12
11
Vcc
2G
Y1
Y2
Y3
Y4
Y5
Y6
Y7
Y8
R13
R14
R15
R16
R17
R18
R19
R20
82
82
82
82
82
82
82
82
nCS_DIO
1
2
3
4
5
6
7
8
9
10
11
12
D+5V
0.1
MOT
NC
NC
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
GND
Vdd
SQW
XALM
XIRQ
VBAT
IRQ
RESET
DS
NC
R/W
AS
RTC
FGND
GND
+5V2
GND1
+5V1
-24V
D3
D2
D1
D0
DISPOFF
CL2
CL1
FLM
1
2
3
4
5
6
7
8
9
10
11
12
13
14
G
P_LCD14
D+5V
24
23
22
21
20
19
18
17
16
15
14
13
TR1
DTA144ES
TR2
DTC144ES
RESET_5V
H
D+12V
IC3
1
2
3
4
RTC-6593
nOPT_DIO
L1
821
nCS_SMPTE
INT_SMPTE
XD0
XD2
XD3
XD5
XD7
XD9
XD10
XD12
XD14
XA1
XA2
XA4
XA6
XA8
XA9
D4
IS30
CS
ES
CT
GND
CD
S1
V+
INV
NJM2360D
8
7
6
5
R23
1(1/2W)
IP1
ICP-N25
R21
I
5.1K
C8
0.1
J5
BH25T
nXOE
nXWR
74HCT04
nRESET_3VB
L4016A502C
0.1
J
A-15V
FGND
A+15V
FGND
D+5V
IC1
29M33
IN
GND
OUT
D+5V
D+5V
D+3.3V
IC5F 74HCT04
13
13 12
C5
C6
C18
10/50 0.1
0.1
47/25
7
Vcc
GND
P13
A-15V
R48
4.7K
12
D+5V
C17
IC5A
1
AD_PD
2
nAD_PD
74HCT04
14
R50
4.7K
4
P15
DGND
PROG
IC5B
3
DA_PD
C42
P12
1
2
3
MTXD
MRXD
nDA_PD
74HCT04
K
1
2
3
4
5
R85
R86
D+5V
PH_5P
33
33
A+15V
DGND
A-15V
DGND
RESET 5V
PROG
RXD
TXD
DGND
D+5V
DGND
1
2
3
4
5
6
7
8
9
10
11
MPC4000
IO, VR
PH_11P
0.1
SCHEMATIC DIAGRAM
1
E
IC60B
5
74LVC245
G
DIR
C7
33
33
33
33
33
nOPTATAT
C406
SW3
74HCT541FP
P_ADAT
D+5V
R403
330
GAIN SW
MUTE
1
2
3
4
5
6
7
8
9
10
R63
R64
R75
R65
R66
51
1
VR1 D20K x 2
MUTE
P9
A-15V
XD1
XD3
XD5
XD7
XA1
R60
R61
R413
7
5532M
4
A-12V
3
A+15V
C62
47/25
8
2
C3
C53
0.1
IC62B
5
C33
XA2
nXOEB
XD1
XD3
XD5
XD7
XA1
XA3
R36
R37
C57 C48
47/25 0.1
6
GAIN VR
AD_PD
nCD_SEL
20
C52
0.1
10K
A+12V
C25
C26
C27
C28
C29
C30
C31
C32
XD0
XD2
XD4
XD6
C50
0.1
C51
R411
0.1
D+5V
C
100PF
A+12V
D5
47/25
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
C409
C401
10/50
33
C56
D+5V
D+5V
D+5V
D+5V
D+5V
DGND
DGND
DGND
DGND
DGND
XD0
XD1
XD2
XD3
XD4
XD5
XD6
XD7
DGND
XA1
XA2
XA3
DGND
CS_ADAT
XRD
XWR
DGND
INIT
FP_CCLK FP_DIN
FP_INIT
FP_PROG
FP_DONE FP_DOUT
DGND
ADAT_RCVD SOUTLR
SOUT12
SOUT34
SOUT56
SOUT78
DGND
LRCK
DGND
BCK
DGND
384FS
DGND
OPTADAT
1
2
3
4
5
6
7
8
9
10
11
DA_PD
C76
R98
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
A+15V
C61
47/25
51
4
A-12V
R410 10K
A-12V
IC7
nCS_DAB
FL60
FL61
FL62
C63
45/25
nCS_DAB
MUTE
P4
W3
R_SEND
R_R+
R_RGND
L_SEND
L_R+
L_RGND
-12V
GND
+12V
PH-JB11P
DIO_RCV
ADAT_SIN
13
D+5V
R412
1
5532M
IC4
C54
0.1
IC62A
8
3
C307
0.1
nXOE
nXWR
SDA12B
SDA34B
SDA56B
SDA78B
SOUT0B
LRCKB
384FSB
BCKB
DA_CCLKB
DA_CDTIB
G
B
51
5532L
C311 4
220/10A-12V
IB1
33x4
VCC
R417
7
5532M
4
A-12V
J12
nSD0 FL31
nSD1 FL32
nSD2 FL33
nSD3 FL34
nSD4 FL35
nSD5 FL36
nSD6 FL37
nSD7 FL38
nSDP FL39
W2
LVR1
1
2
LVR2
3
GND
4
RVR1
5
RVR2
6
GND
7
LSEND
8 LRETURN
9
GND
10 RSEND
11 RRETURN
12 GND
PH-JB12P
0.1
11
74LVC32
2
3
4
5
6
7
8
9
G
DIR
IC61B
8
3.3/50
IC8 74LVC245
19
1
6
C403
D+3.3V
C97 0.1
10K
5
C71
47/25 0.1
XA2
D+3.3V
100PF
R415
VR2
A+12V
FS1
1S30
C308
A+12V
LVCO8
14
560
R408 560
12
IC16B 74LVC32
4
A
Y
5
B
GND
VCC
R409
J9_MOTHER
R34
33
11
nCS_ADAB
R73
IB5 33x4
IB6 33x4
VCC
nXOEB
8
MIDI_IN1
18
17
16
15
14
13
12
11
B1
B2
B3
B4
B5
B6
B7
B8
A1
A2
A3
A4
A5
A6
A7
A8
D+3.3V
9
IB7
XD0
XD1
XD2
XD3
XD4
XD5
XD6
XD7
G
DIR
3
330
R28
33
FL1
nCS_SMPTE
9
18
19
20
21
22
23
24
25
26
27
28
10/50
FGND
1
C86
R97
C81
0.1
2
C85
8
10
IC14C 74LVC32
+15V
IC12A
R27
33
FL3
LTCOUT
LFC
XTAL2
XTAL1
AVDD
AVSS
COUT
YOUT
C2
Y2
C1
20K
+15V
R26
1.5K
nREQ
MIDI_IN0
MIDI_IN1
R67 33
Y1
STHRESH
CTHRESH
DTHRESH
RXD
CTS
TXD
RTS
LRCLK
VITCGATE
VITCOUT
14.31818MHz
6
4
LF353
-15V
FL52
DSS306-101
7
8
9
10
11
12
13
14
15
16
17
X1
7
SMPTE OUT
J6
USBD
270
FAN_ON
10K
330
270
IC15 74LVC245
+15V
IC12B
J14
R12
19
1
D9
1SS133T
8
R11
nATN
nACK
nMSG
B10K x 2
D+5V
FL51
DSS306-101 R95
b1
DGND
b2
SD2
b3
SD4
b4
SD6
b5
DGND
b6
ATN
b7
ACK
b8
MSG
b9
DGND
b10
REQ
b11
TERM
b12 DGND
b13 OPT_INT
b14 OPT_IEEE
b15
b16 DGND
b17 MOTER_ON
b18
UCDb19 MIDI_IN1
b20 DGND
b21 MIDI_OUT2
b22 MIDI_OUT3
b23
INIT
b24 DGND
b25 FP_PROG
b26 FP_DOUT
b27 OPTADAT
b28 DGND
b29 SDA56
b30 DA_CS
b31 OPT_8PARA
b32 DGND
b33 LRCK
b34 ADA_CS
b35 DGND
DFS
b36
b37 CD_ANA
b38 AD_MAIN
b39 DGND
BM1
b40
nSD2
nSD4
nSD6
D+3.3V
INT_SMPTE
C84 0.1
R91
R89 220
47K
0.1
33
1
6
5
4
3
2
1INT_SMPTE
44 SD7
43 SD6
42 SD5
41 SD4
40 SD3
R88
47K
C47
C88
4.7/50
D7
1SS133T
R90
220
D6
1SS133T
SMPTE IN
R94
1
4
3
2
C1
PH1
1
0.1
PC400
R68
3
C72
0.1
FL2
C2
6
5
4
Vcc
IC14A 74LVC32
2
TR6
DTA114ES
C38
33
D+5V
0.047
0.047
1
74HCT32
RESET_5V
RESET_5V
D+3.3V
TR5
DTC114ES
PH2
7
GND
VCC
nFAN_ON
J13
nRESET_3VB
DSS306-101
5
B
14
nACK
nMSG
nCD
nIO
D+5V
D3
51
MAIN VR
MCCS142236
nBSY
nACK
nRST
nMSG
nSLG
nCD
nREQ
nIO
J9
6
MIDI_OUT0
TR4
2SC3330
nATN
MIDI+5V
74HCT32
IC13B
D1
1SS133T
R78
4.7K
nBSY
nRST
nSEL
nREQ
P_SCSI
4
11
Y
MIDI_OUT1
R80
4.7K
D
DSS306-101
3
3
D+5V
FGND
2
13
C64
0.1
3
E
E
R8
220
R7
220
IC13A
5
1
R416
1
5532M
4
A-12V
R414 10K
10K
220
4
3
E
E
IC61A
8
3
R24
R4
220
5
S
S
2
470/35
R3
4
1
2
nSD1
nSD3
nSD5
nSD7
nATN
22P
22P
22P
22P
22P
22P
22P
22P
D+5V
R79
2.2K
FGND
2
28
27
26
25
24
23
22
21
20
19
18
17
16
15
R22
180K
MIDI_OUT2
C
3
1
P11
C75
100/25
FL8
220
8
R77 82(2W)
DSS306-101
R6
10
TR3
2SB1326
5
1
S
S
R9 220
R1 220
IC13C
1
2
4
3
S
S
E
E
MIDI+5V
9
MIDI_OUT3
EH2P
5
FL10
220
FGND
D+12V
4
1
FL7
DSS306-101
R2
C94
0.1
DSS306-101
FL4
MIDI+5V
DSS306-101
FL6
DSS306-101
DSS306-101
FL22
C73
0.047
E
E
2
MIDI+5V
FL5
D+5V
E
E
3
2
R10 220
5
1
S
S
DSS306-101
FL13
4
3
S
S
C67 0.047
5
E
E
R82
220
B
4
1
2
C68 0.047
D32
ISS133T
2
FL9
D30
1SS133T
FL20
DSS306-101
C93
0.047
J11
FOOT SW 2
S
S
GND
L18
L17
L16
L15
L14
GND
GND
GND
L13
L12
L11
L10
REG
330/16
J3
MIDI_J2
A+12V
DISC
L1
L2
L3
L4
L5
GND
GND
GND
L6
L7
L8
L9
TPWR
EK16 V0
J2
MIDI_J2
1
2
3
4
5
6
7
8
9
10
11
12
13
14
C24 330P
J1
MIDI_J2
nTERM
nSD0
nSD2
nSD4
nSD6
nSDP
51
1
2
3
4
IC6
nSD0
nSD1
nSD2
nSD3
nSD4
nSD5
nSD6
nSD7
nSDP
-DB0
2
4
-DB1
-DB2
6
8
-DB3
10
-DB4
12
-DB5
-DB6
14
16
-DB7
-DBP
18
GND
20
GND
22
NC
24
TERMPWR 26
NC
28
GND
30
-ATN
32
GND
34
-BSY
36
-ACK
38
40
-RST
-MSG
42
44
-SEL
46
-C/D
48
-REQ
-I/O
50
52
220
10K
FOOTSW2
DGND
FOOTSW1
DGND
R84
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
NC
NC
NC
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
C34
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
P10
10K
D33
1SS133T
R35
FL21
DSS306-101
D31
1SS133T
R49
J10
FOOT SW 1
A
P14
R81 4.7K
R83
4.7K
A
2
3
4
5
6
7
8
9
10
1 1
12
13
File No.
A1
AKAI professional M. I. Corp.
K
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
A
A
A+12V
A+12V
C62
3.3/50
C61
C63
3.3/50
2700PF
A+12V
B
DA_RST
2
IC10A
8
22/50
LRCK
DA_BCK
SOUT12
DA_MCLK
220
R3
220
R4
C20
0.047
C
RST
ZEROL
ZEROR
LRCK
DATA
BCK
SCKI
DGND
VDD
DEMP0
DEMP1
FMT0
FMT1
FMT2
VCC3
AGND2
IOUTLIOUTL+
VCC2
VCC1
VCOM3
IREF
VCOM2
VCOM1
AGND1
IOUTR+
IOUTRMUTE
10/50
220
220
R60
3900p
R1
R2
4
A-12V
C22
C21
IC9
1
2
3
4
5
6
7
8
9
10
11
12
13
14
R63
750
1.1K
C60
28
27
26
25
24
23 C25
10/50
22
21
20
19
18 C24
17
10/50
16
15
7
IC10B
8
R70
5532L
4
A-12V
R69
4.7K
2
100
R75
LRCK
DA_BCK
C72
C73
2200P 2200P
100
R17
R19
SOUT56
5532L
4
A-12V
R73
10K
DA_MCLK
TR2
DTC114
R18
1
2
3
4
5
6
7
8
9
10
11
12
13
14
220
220
220
220
R20
C20
0.047
620
2700PF
C81
R71
560
IC11A
8
1
R87
C33
DGND
JACK
3
1.1K
J1
TR1
DTC114
IC19
C67
22/50
R81
R45
1
C89
1800PF
A+12V
A+12V
2
IC12A
8
R82
750
C86
22/50
1
3
DGND
OPA2123
4
A-12V
R80
1.1K
C80
R8
33
R83
750
6
7
6
4
A-12V
IC12B
8
C91
C90
1800PF
R92
10K
6
IC20B
8
R89
4.7K
2
R91
560
IC13A
8
1
A+12V
5532L
R42
16K
22/50
4
A-12V
4
A-12V
100
B
R148
560
IC21B
8
R145
620
R150
5532L
4
A-12V
C151
C150
1800PF
4.7K
R149
4.7K
2
IC21A
8
1
J2
R24
33
IC22A
8
R162
760
C166
22/50
C168
8200PF
A+12V
6
IC22B
8
5
6
R168
560
IC23B
8
7
R164
620
DA_RST
2
IC15A
8
1
C106
22/50
3
A+5VDAC
LRCK
DA_BCK
SOUT34
DA_MCLK
220
220
220
R10
220
R12
F
C20
0.047
RST
ZEROL
ZEROR
LRCK
DATA
BCK
SCKI
DGND
VDD
DEMP0
DEMP1
FMT0
FMT1
FMT2
VCC3
AGND2
IOUTLIOUTL+
VCC2
VCC1
VCOM3
IREF
VCOM2
VCOM1
AGND1
IOUTR+
IOUTRMUTE
10/50
1
2
3
4
5
6
7
8
9
10
11
12
13
14
R100
3900p
IC14
R9
R11
C28
C27
28
27
26
25
24
23 C31
10/50
22
21
20
19
18 C30
17
10/50
16
15
C100
R102
750
R165
620
R170
5532L
4
A-12V
R103
750
6
R169
4.7K
2
IC23A
8
C167
1
5532L
2700PF
IC15B
8
4.7K
R109
4.7K
R121
R47
2
100
22/50
4
A-12V
IC17A
8
C129
R122
750
C126
1
3
DGND
OPA2134
4
A-12V
R120
1.1K
C120
R16
33
6
220
220
220
220
R28
C20
0.047
1800PF
R123
750
IC17B
8
4
A-12V
C123
3.3/50
4.7K
C131
C130
1800PF
R132
10K
IC25B
8
2
8
R131
560
1
5532L
4
A-12V
22/50
A+12V
R135
R43
16K
R133
10K
100
7
R184
620
R185
620
R201
C201
5
R190
5532L
4
A-12V
4.7K
C191
C190
1800PF
R192
10K
R189
4.7K
2
IC26A
8
1
JACK
R191
560
R195
100
3
5532L
R193
10K
4
A-12V
1.1K
R207
2700PF
J7
TR13
DTC114
220PF
A+12V
C192
C193
2200P 2200P
TR14
DTC114
F
620
IC27A
8
C209
R202
760
C206
22/50
C208
8200PF
R32
33
6
C202
3.3/50
DA_MUTE
TR8
DTC114
C203
3.3/50
R203
760
2700PF
A+12V
A+12V
8
IC27B
R206
620
6
1800PF
R208
560
IC28B
8
7
R204
620
R205
620
R210
5532L
4
A-12V
4.7K
C211
C210
1800PF
R212
10K
J8
TR15
DTC114
1800PF
A+12V
R209
4.7K
2
C207
8
IC28A
1
7
5
R214
100
5
JACK
R211
560
R215
100
3
22/50
5532L
4
A-12V
OPA2134
4
A-12V
A+12V
R213
10K
C212
C213
2200P 2200P
G
TR16
DTC114
C204
3.3/50
A-12V
C205
3.3/50
A-12V
GND
IN
OUT
R194
100
A+12V
J4
C125
3.3/50
IC2
7912
R188
560
IC26B
8
C187
OPA2134
4
A-12V
R200
1.1K
C124
3.3/50
A-12V
6
OPA2134
4
A-12V
R50
100
R51
C132
C133
2200P 2200P
100
R186
620
22/50
C200
IC18A
E
A-12V
7
5
3
3
1800PF
A+12V
JACK
C127
C188
8200PF
R183
760
2700PF
1
TR7
DTC114
220PF
C189
A+12V
6
C185
3.3/50
620
A+12V
R182
760
C186
22/50
OPA2134
4
A-12V
1.1K
C180
2
A+12V
R129
4.7K
5
OPA2134
4
A-12V
28
27
26
25
24
23 C43
10/50
22
21
20
19
18 C42
17
10/50
16
15
DGND
R130
5532L
R125
620
2700PF
VCC3
AGND2
IOUTLIOUTL+
VCC2
VCC1
VCOM3
IREF
VCOM2
VCOM1
AGND1
IOUTR+
IOUTRMUTE
R180
R134
100
5
R124
620
RST
ZEROL
ZEROR
LRCK
DATA
BCK
SCKI
DGND
VDD
DEMP0
DEMP1
FMT0
FMT1
FMT2
C40
C184
3.3/50
R187
A-12V
IC25A
8
PCM1730
R128
560
IC18B
8
7
C128
8200PF
22/50
6
7
C122
3.3/50
DA_MUTE
R126
620
A+12V
A+12V
G
IN
GND
OUT
DA_MCLK
TR6
DTC114
R26
A+12V
2
+15V
LRCK
DA_BCK
C112
C113
2200P 2200P
100
SOUT78
R113
10K
1
2
3
4
5
6
7
8
9
10
11
12
13
14
A+12V
PCM1730
IC1
7812
R115
R25
R27
620
2700PF
C121
JACK
R111
560
IC16A
8
1
R127
C39
DGND
IC24
5532L
1.1K
J3
TR5
DTC114
3
OPA2134
4
A-12V
R46
100
2700PF
1
D+3.3V
R112
10K
220PF
C107
D
A+12V
C183
3.3/50
3
A+12V
5
R41
16K
2
R114
100
A+5VDAC
7
1.1K
A+12V
R108
560
C110
1800PF
C172
C173
2200P 2200P
TR12
DTC114
C165
3.3/50
C181
C111
100
C164
3.3/50
C182
3.3/50
R110
R175
R173
10K
A-12V
A-12V
5532L
4
A-12V
R171
560
A+12V
4
A-12V
A-12V
5
R104
620
R105
620
J6
TR11
DTC114
3
22/50
R181
7
R172
10K
220PF
A+12V
A+12V
IC16B
8
R174
100
4.7K
C171
C170
1800PF
4
A-12V
C163
3.3/50
DA_RST
R106
620
A+12V
6
1800PF
A+12V
C108
8200PF
OPA2134
4
A-12V
1.1K
D+3.3V
DGND
C109
A-12V
TR10
DTC114
5
OPA2134
TR4
DTC114
3900p
2700PF
A+12V
R153
10K
C152
C153
2200P 2200P
1800PF
7
C162
3.3/50
DA_MUTE
R163
760
2700PF
R166
620
A+12V
C105
3.3/50
620
100
620
C169
OPA2134
4
A-12V
R160
1.1K
10/50
C101
E
R107
R155
C
R167
C104
3.3/50
C103
3.3/50
JACK
R151
560
5532L
4
A-12V
1.1K
A+12V
C102
3.3/50
1.1K
J5
TR9
DTC114
3
A-12V
R101
R152
10K
220PF
A+12V
C147
2700PF
C161
R154
100
5
A+12V
C85
3.3/50
A+12V
6
7
R144
620
A+12V
C84
3.3/50
A-12V
R146
620
22/50
R161
R49
C92
C93
2200P 2200P
100
A-12V
OPA2134
4
A-12V
R48
100
1
JACK
R95
R93
10K
1800PF
7
5
3
3
OPA2123
2700PF
C160
C87
C149
A+12V
2
TR3
DTC114
220PF
5
C83
3.3/50
4.7K
R143
760
1.1K
C140
R94
100
A+12V
7
C82
3.3/50
VCC3
AGND2
IOUTLIOUTL+
VCC2
VCC1
VCOM3
IREF
VCOM2
VCOM1
AGND1
IOUTR+
IOUTRMUTE
R140
DGND
R90
5532L
R85
620
2700PF
RST
ZEROL
ZEROR
LRCK
DATA
BCK
SCKI
DGND
VDD
DEMP0
DEMP1
FMT0
FMT1
FMT2
C148
8200PF
4
A-12V
C34
28
27
26
25
24
23 C37
10/50
22
21
20
19
18 C36
17
10/50
16
15
PCM1730
R88
560
IC13B
8
5
R84
620
A+12V
A+12V
DA_MUTE
R86
620
C88
8200PF
R142
760
C146
22/50
C145
3.3/50
620
A+12V
OPA2134
D+3.3V
R72
10K
220PF
IC20A
8
C144
3.3/50
R147
A-12V
3
A+12V
OPA2123
4
A-12V
R44
100
R40
16K
2
R74
100
4.7K
C71
7
5
2700PF
A+5VDAC
C70
1800PF
A+12V
6
R68
560
IC11B
8
C143
3.3/50
A+12V
5
R65
620
2700PF
PCM1730
D
6
R64
620
1.1K
C141
A-12V
DA_RST
R66
620
C68
8200PF
OPA2123
D+3.3V
1800PF
A+12V
R62
750
C66
1
DGND
C69
R141
C65
3.3/50
620
A-12V
3
A+5VDAC
R67
10/50
1.1K
A+12V
C142
3.3/50
3900p
R61
A+12V
C64
3.3/50
A-12V
A+12V
MUTE_OUT
MUTE_OUT
C11
H
C2
47/25
10/50
C10
0.1
C12
C1
47/25
10/50
C14
H
0.047
A-12V
D+3.3V
-15V
R52
4.7K
nDA_PD_B
DA_CCLK
1
IC30A
R54
3
DA_CCLK
DA_RST
2
330
74LVC08
D+3.3V
TR17
DTC114ES
C17 0.047
C16
330PF
I
1
2
3
4
5
6
7
8
9
10
SDA12
SDA34
SDA56
SDA78
DA_BCLK
BLK
384FS
DA_CDTI
DA_CS
1G
A1
A2
A3
A4
A5
A6
A7
A8
GND
20
19
18
17
16
15
14
13
12
11
VCC
2G
Y1
Y2
Y3
Y4
Y5
Y6
Y7
Y8
D+3.3V
DA_MUTE
SOUT12
SOUT34
SOUT56
SOUT78
LRCK
DA_BCK
DA_MCLK
DA_CCLK
4
IC7
78L05
IC30B
A+12V
6
5
D1
1SS133T
74LVC08
74LVC541
DA_CDTI
9
IC30C
C13
8
10
C19
220/25
0.047
74LVC08
DA_CS
A+5VDAC
J
12
DGND
11
13
IC6
29M33
74LVC08
R36
R35
10K
R37
4.7K
IC8
1
2
3
4
1K
NC
NC
VIN
VCC
NC
OUT
GND RES C
C3
0.047
M51957BFP
D+3.3V
C6
10/50
C4
C9
0.047
10/50
C5
C8
0.047
10/50
J
8
7
6
5
C15
+15V
R55
2.4K
D+3.3V
C18 0.047
IN
GND
OUT
IN
GND
OUT
IC5
78M05
IN
GND
OUT
IC4
7808
+15V
IC30D
C7
3.3/50
MUTE
DA_CDTI
nDA_CS
R53
4.7K
nDA_RST
GND
IN
OUT
I
MUTE
1
2
3
MUTE
P1
1 A+15V
A+15V
2
AGND
3 AGND
4
A-15V
5 A-15V
6
AGND
7 AGND
8
9 MUTE
DA_PD 10
11 DA_CS DA_CCLK 12
13 DA_CDTI
SDA12 14
15 SDA34
SDA56 16
17 SDA78
DGND 18
19 LRCK
DGND 20
21 BCK
DGND 22
23 384FS
DGND 24
25
OPT8PARA26
P_8PARA
R38
2.2K
0.047
TR18
2SA1317
MUTE_OUT
R39
20K
DGND
DGND
IB-48P
PC 48P.BLK
K
-12V
SCHEMATIC DIAGRAM
1
2
3
4
5
6
7
8
9
10
11
12
13
14
AKAI professional M. I. Corp.
K
7
5
4
3
2
1
N: Blue
!
AC INLET
SOT-16
PC(# )IO
C5
MKP3362 683M
2
1
L4016A502B
1
'MAIN&REC VOLUME'
L1
HR-24-562
PC(# )OTHERS
L4016A504E
'FILTER'
!
C6 MKP3362 103M
!
3
P4
P3
4
2
!
2
FAN MOTOR
PC 4ADT(ADAT)
PC 48P(8para OUT)
L5263B5010
L5261B5010
W3
109R0612F402
L=230
WIRE ASSY L5263(2) PH 8P
J3
P1
P1
WIRE ASSY
L5261(1)
RA-RC 26P
WIRE ASSY
L5263(1)
RA-RC 50P
!
WIRE ASSY L4016(16) OUPIIN 26P
2
2
1
1
!
SW10
SDDF3A
!
P2
P4
L6052A5060
W1
'POWER SW'
PC(# )IO
P7
For OPTI ON --- SCSI drives
P8
For OPTI ON --CD-ROM drive's
digital output
P1
P20
P28
W1
P3
P14
WIRE ASSY L4016(9) 5196-VH 2P
For DI MM
P9
P2
WIRE ASSY
L5262(1)
RA-RC 40P
PC DIO
PC(# )OTHERS
WIRE ASSY L4016(13) AMP-AMP 4P
WIRE ASSY L4016(13) AMP-AMP 4P
L4016A502B
To internal IDE drives
(OPTION)
'AD_DA'
For OPTI ON --CD-ROM drive's
analogue output
To internal IDE drives
(OPTION)
For OPTI ON --- i nt er nal I DE drive's power
J8
Connection Diagram
J9
J5
P29
J6
P23
To internal IDE
CD-ROM drive
(OPTION)
PC(# )IO
WIRE ASSY
L4016(11) VH-VH 6P
L4016A502A
P11
J1
P7
J2
P1
J3
J1
J4
J2
WIRE ASSY L4016(8) 5195-VH 10P
P5
PC POWER
LJ038A5010
WIRE ASSY
L4016(17) PH-PH 5P
P10
CORD FFC P1.25 L480 14P
P5
J4
SPS-80
PC EFFECT
For OPTI ON --- I DE drives
P13
P2
P10
WIRE ASSY L4016(18) CDRW 4P
P1
L6052A5050
P7
HEADPHONE
WIRE ASSY L4016(19)
CDRW 2P
P21
C
SWITCHING REGULATOR
WIRE ASSY L4016(4) JC-PH 8P
C
W2
1
C7 MKP3362 103M
L4016A504F
D
WIRE ASSY L4016(14) PH-JB 12P
1
4
OUTPUT VR
D
3
INPUT VR
P2
!
!
2
WIRE ASSY L4016(15) PH-JB 11P
!
P1
WIRE ASSY L4016(10) VH-VH 3-2P
WIRE ASSY L4016(7) VH 3-2P
L: Brown
To internal IDE
CD-ROM drive
(OPTION)
J400
PC(# )OTHERS
P51/P52
'LCD'
W400
WIRE ASSY L4016(1) JB-PH 4P
WIRE ASSY L3055
W16 JB-EH 14P
WIRE ASSY L3055
W12 JB-51021 5
L4016A504C
W401
J12
INVERTER
WIRE ASSY L4016(2) JB-PH 11P
WIRE ASSY L4016(6) JB-PH 4P
USB
WIRE ASSY L4016(3)
JB-PH 6P
CXA-K0505-VJL
B
FOOT SW
LCD
L4016A504B
W200
PC(# )OTHERS
PC(# )OTHERS
W170
W250
WIRE ASSY L4016(12) AMP 40P
To internal IDE drives
(OPTION)
'FUNCTION KEYS'
L4016A504D
B
'HEADPHONE&USB'
G321EV5R001
P20
W40
W50
P30
PC OPERATION
WIRE ASSY
L4016(5)
JB-PH 4P
W300
PC(# )OTHERS
L4016A5030
L4016A504A
'JOG '
A
A
MPC4000
CONNECTION DIAGRAM
5
4
3
2
1
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
A
A
A+12V
CD ON
LINE ON
A+12V
6
LCOLD
TR10
2SC3329
D13
1SS133
C106
R106
3.3K
5
100P
4
A-12V
TR11
2SC3329
C114
IC22B
8
7
10K
M5520L
C126
R136
10/50
300
C118
R191
2
R193
10K
R194
10K
R140
470K
M5220L
R141
91K
J23
SL
1000/6.3
R170 10K
TR12
2SC3329
4
A-12V
R145 18K
R144 300K
C133 3900pF
C132
TR13
2SC3329
P23
GND
L_CD
GND
R_CD
PH_2P
D14
1SS133
R133
750
0.015uF
D15
1SS133
C136
22/50
SR
D
PHONOX2
1
2
3
4
1
2
3
4
5
6
7
8
9
10
P21
L_CD
GND
GND
R_CD
R161
10K
A+12V
R190 10K
R155
100K
1
2
3
4
5
6
7
8
9
10
11
12
100PF
2
C140
10/50
R157
47K
M5220L
4
R167A-12V
2.2K
C142
6
R156
100K
8
IC25B
7
R158
47K
A+12V
R108
1k
R107
R118
10K
C115
100PF
C107
100P
R111
3.3K
D17
1SS133
2
IC23A
8
RCOLD_1
1
TR14
2SC3329
C162
3.3/50
R-
1
A+12V
AD+5V
M5220L
C144
3.3/50
C151
4.7uF
C145
3.3/50
A-12V
C150
4.7uF
A-12V
4
A-12V
10K
R174
10K
8
R+
7
C158
R176
10/25
10K
C116
C125
R124
10/50
300
R125
10K
R119
1.1k
C234
100PF
nDA_RST
7.5K
6
A+12V
C135
C134 3900pF
R149
300
D+3.3V
0.015uF
LRCK
BCLK
C119
3.3/50
D21
1SS133
R122
750
R257
R256
33
33
R258
33
R259
33
1
2
3
4
5
6
7
8
9
10
11
12
13
14
A-12V
A+12V
C138
3.3/50
A-12V
C226
0.1
IC48
78M05
IC49
78M08
IN
GND
OUT
IN
GND
OUT
IN
GND
OUT
A+5VADC
A-12V
VCC3
AGND2
IOUTLIOUTL+
VCC2
VCC1
VCOM3
IREF
VCOM2
VCOM1
AGND1
IOUTR+
IOUTRMUTE
28
27
26
25
24
23
22
21
20
19
18
17
16
15
C235
2
6
0.047
10/50
+15V
8
R265 16K
C231
10/50
R180
RADIN-
A+12V
C232
3.3/50
C246
3.3/50
C233
3.3/50
C247
3.3/50
C214
10/50
C212
0.047
C240
3900PF
R273
1.2K
2
1800PF
8
1
R+
5532L
4
A-12V
A+12V
C238
6
1
2
3
4
5
6
7
8
9
10
11
R305
1.2K
C243
3900PF
R279
R283
620
6
C265
3.3/50
A-12V
1800PF
IC39B
8
7
R281
620
L+
R285
100
4
A-12V
C242
1800PF
R280
620
DGND
H
SOUT0
IC45
7912
R304
R302
3.6K
GND
IN
OUT
IN
GND
OUT
C295
R345
10/50 4.7K
1
C201
10/50
SDATA
nCD_SELECT
nCD_ANA
20
19
18
17
16
15
14
13
12
11
C298
FS384
C296
10/50
LRCK
BCLK
IC34
78L05
R216
nDA_PD
DUAL_MODE
nAD_PD
33
nAD_PD
12
R314
C275
3.3/50
A-12V
R214
nDA_PD
J
33
9
IC51C
A
10
+8V
A+5VADC
DGND
D+3.3V
C186
IC33
1
2
3
4
NC
NC
VIN
VCC
NC
OUT
GND RES C
C187
R219
2.2K
8
7
6
5
B
GND
VCC
7
8
1
XLR_RHOT
XLR_RCOLD
R306
100
2
3
1
3
RL2
5532D
XLB-3
C288 C287
2200P 2200P
A+12V
G
3.6K
D23
1SS133
A+12V
DGND
6
IC41B
8
7
R307
100
G5A-12V
5
5532D
4
A-12V
3.6K
150P
A+12V
DGND
2
IC42A
8
1
R319
560
C270
2700P
H
R321
100
3
5532D
4
A-12V
R318
10K
J26
TR21
2SC3327
LINE L
3.6K
6
150P
C289
C290
2200P 2200P
IC42B
8
7
R317
560
R320
100
5
5532D
4
A-12V
R316
10K
TR22
2SC3327
I
3.6K
C301
220/50
A+12V
C279
C269
R331
22/50 1K
R333
3.6K
C278
2700P
A+12V
R231
10K
150P
NC
NC
VIN
VCC
NC
OUT
GND RES C
M51957BFP
8
7
6
5
C268
22/50
R233
2.2K
R250
C218
4.7K
R232
2.2K
IC43A
8
1
R339
560
R330
1K
R341
100
3
5532D
R338
10K
4
A-12V
C281
3.3/50
A-12V
IC35
1
2
3
4
R230
1K
2
C280
3.3/50
+15V
0.1
DGND
2
IC41A
A+12V
R312
3.6K
R335
C299
3.3/50
R234
2.4K
A+12V
DGND
C271
R334
nDA_RST
100
A+12V
DGND
74LVC08
8
F
R297
5532D
4
A-12V
A+12V
C300
3.3/50
4.7/50
0.1
M51957BFP
473Z
D+3.3V 14
Y
A-12V
nAD_RST
74LVC08
D24
1SS133
G5A-12V
3.6K
R350
100
A-12V
11
R310
1K
C266
22/50
IC44B
8
4
A-12V
C220
0.1
220/25
IC51D
13
R218
1K
6
M5220L
C219
R217
3.3K
R348
4.7K
5
A+12V
74LVC244
C302
150P
7
BCLK
8
7
C274
3.3/50
1
2
3
4
5
6
7
8
A+12V
LRCK
D22
W1 RA
1 +15V
+15V
2
GND
4
3 GND
-15V
6
5 -15V
MUTE
8
7 GND
FS384 10
9 GND
BTCK
11 GND
12
14
13 GND
LRCK
TDI/CDTI 16
15 GND
CCLK
18
17 CS_DA
GND
19 SDATA
20
21 SOUTO
DA PD 22
24
23 CD_SEL DFS
25 CD_ANA AD PD 26
VCC
2G
1Y1
2A4
1Y2
2A3
1Y3
2A2
1Y4
2A1
C272
2700P
A+12V
P29
HEAD_L
GND
HEAD_R
GND
+12V
GND
-12V
MUTE
4
R349 A-12V 10K
GND
IN
OUT
0.047
A-12V
-15V
R347
100
M5220L
1
2
3
3.3/50
C206
C203
A+12V
IC44A
8
A-12V
1SS133T
I
C205
3.3/50 0.047
10/50
C202
10/50
C200
10/50
1G
1A1
2Y4
1A2
2Y3
1A3
2Y2
1A4
2Y1
GND
2
R313
3.6K
150P
A+12V
IC52
1
2
3
4
5
6
7
8
9
10
C207
C267
R311
22/50 1K
10K
C297
A+12V
C204
IC40B
5
C273
R346
3
D+3.3V
270P
C261 270P
R315
4
A-12V
C237
3.3/50
MUTE
C285
2200P
OPA2134
C236
3.3/50
A-12V
XLB-3
C286
2200P
A+12V
4
A-12V
C260
2200P
22/50
5532L
1.2K
R300
2K
C252
5
IC38B
8
R303
3.6K
C262
2200P
7
A+12V
6
620
A+12V
R278
RL1
3.6K
C264
3.3/50
C245
100
5532D
4
A-12V
2
3
1
J25
R301
2K
A+12V
IC38A
XLR_LHOT
XLR_LCOLD
R296
C263 270P
C253
22/50
L-
R282
2700PF
1
3
C255
R292
3.6K
C254
2200P
22/50
A-12V
OPA2134
4
L+
A-12V
R271
1.1K
C217
0.047
P28
R_SEND
R_R+
R_RGND
L_SEND
L_R+
L_RGND
-12V
GND
+12V
MAINVR
2700PF
3
R240
R290
2K
C250
C241
1800PF
IC37A
8
R294
IC40A
8
A+12V
R284
100
IC39A
3
R275
620
R274
620
A+12V
2
A+12V
2
A+12V
1
C216
10/50
C259
3.3/50
A-12V
620
C244
R+
R270 1.1K
C239
C256
2200P
A+12V
4
A-12V
100
R293
3.6K
C258
3.3/50
R277
620
270P
J24
C251 R291
22/50 2K
A-12V
R272
1.2K
2700P
8
3.6K
C257
5
+15V
R212 33
1.5K
OPA2134
R269
DGND
C225 0.1
8
7
6
5
CLK/2
CLK
SO
FBIN
51
IC37B
PCM1730
D+3.3V
C213
0.047
C215
0.047
R361
150 (2W)
IC24
7812
S1
VDD
GND
ICLK
IC29B
7
A+12V
C211
C209
3.3/50 0.047
1
2
3
4
D
3
R266
100
IC50
29M33
D+5V
C210
IC31
R211 33
74LVC08
1
C230
10/50
33
C208
IC51B
5
A+12V
R276
A+12V
DGND
A+5VDAC
+8V
+15V
4
6
RADIN+
0.1
ICS570A
5
4
A-12V
1.1k
R267
IN
GND
OUT
IC47
78M05
IN
GND
OUT
IC46
78M08
C139
3.3/50
RST
ZEROL
ZEROR
LRCK
DATA
BCK
SCKI
DGND
VDD
DEMP0
DEMP1
FMT0
FMT1
FMT2
10/50
IC36
DGND
C120
3.3/50
D20
1SS133
C137
22/50
G
TR17
2SC3329
R120
750
DUAL_MODE
R178
R-
OPA2134
C227 0.033
1000/6.3
TR15
2SC3329
6
2700P
8
A+5VDAC
File No.
C186
330P
RPHONO
100
M5220L
4
A-12V
R146 18K
R147 300K
5
470PF
R179
A+12V
R360
330
5
R143
96K
C171
A-12V
C228
7
C131
10/50
R142
470K
IC32B
4
A-12V
R268
C123
100
R148
SDATA
74LVC02
51
7
IC21B
R220 33
3
2
NJM5532L
5
8
10
74LVC02
IC51A
1
D+3.3V
NJM5532L
4
A-12V
RHOT_1
IC23B
M5520L
4
A-12V
R115 7.5K
6
IC32C
8
9
R209 33
C184
1
C173
10/50
IC28B
A-12V
R123
74LVC02
0.1
33
33
R295
7
C113 100PF
A+12V
12
C183
C189
10/50
R207
R208
11
7
4
3
R182
10K
100PF
8
0.1
1
13
GND
VCC
14
DGND
A+12V
6
C179
D3.3V
LRCK
BCLK
IC29A
8
Y
B
D+3.3V
0.1
B
IC32D
C
R215 33
2
10K
A
3
C179
1.5K
R177
R181
10K
R173
C157
M5220L
4
A-12V
R160
2.2K
5.6K
F
DGND
470PF
A+12V
5
4
A-12V
TR16
2SC3329
D19
1SS133
C108
100P
6
M5520L
RCOLD
R112
3.3K
R114
10K
D18
1SS133
C104
47/50
1k
R110
C170
28
27
26
25
24
23
22
21
20
19
18
17
16
15
VREFL VREFR
GNDL
GNDR
VCOML VCOMR
AINL+
AINR+
AINLAINRZCAL
VA
VD
AGND
DGND
BGND
CAL
TEST
RST
HPFE
SMODE2
DFS
SMODE1 MCLK
LRCK
FSYNC
SCLK
SDATE
IC32A 74LVC02
AK5383
NJM5532L
4
A-12V
A+12V
3
R109
nAD_RST
1
2
3
4
5
6
7
8
9
10
11
12
13
14
2
E
R116
7.5k
R113
7.5k
A+12V
5.6K
COMBO
R117
39k
RHOT
R200
51
A+12V
D16
1SS133
C103
47/50
IC27A
8
3
C159 A-12V
10/25
R175
IC28A
8
M5220L
4
A-12V
A-12V
1.5K
C180
0.1
IC30
A+12V
2
10K
C182
0.1
1
C163
3.3/50
5
R CH
SS
S
R
RS
TS
T
100PF
A+12V
5
R213
10K
nCD_ANA
E
1
3
2
10K
C156
A-12V
10K
R171
A+12V
C141
10/50
C191 0.1
C176 0.1
A+12V
2
10K
A+12V
4
A-12V
100PF
R159
C167
1000P
470PF
R199
R196
10/25
M5220L
C112
3.3/50
G
C154
L+
1
R172
C166
C168
10/50
IC26A
8
3
SSM2404
A+12V
D3.3V
C111
3.3/50
IC25A
2
3
1
2
3
4
P22
J22
100PF
3
CONCD_AN
A+12V
8
20
19
18
17
16
15
14
13
12
11
SW1A SW4A
AGND AGND
SW1B SW4B
DGND
V+
SW1C SW4C
SW2C SW3C
NC
VSW2B SW3B
AGND AGND
SW2A SW3A
1
1V(RMS)
P20
PH_12P
LVR1
LVR2
GND
RVR1
RVR2
GND
LSEND
LRETURN
GND
RSEND
RRETURN
GND
A-12V
SW1
SSSF142-S09N0
GR
R134
750
C143
LADIN+
D3.3V
A+12V
IC53
LPHONO
100
R150
300
GL
FG
R151
3
51
NJM5532L
4
A-12V
R202
10K
C124
100
IC21A
8
1
C130
10/50
R198
5
R201
10K
C178
10/50
C175
10/50
IC27B
8
10K
R132 7.5K
C153
R135
6
AD+5V
A+12V
A+12V
A+12V
R195
10K
7
M5220L
4
A-12V
100PF
A-12V
C
L-
5
5.6K
R128 7.5K
A-12V
C155
10/25
IC26B
8
7
R137
10K
4
A-12V
100pF
6
nCD_SELECT
C172
1000P
LINE_ON
R127
1 LCOLD_1
M5520L
D12
1SS133
A+12V
IC22A
8
3
C102
47/50
1k
R102
2
1.5K
R197
LHOT_1
33
470PF
C177 0.1
D11
1SS133
C105
100P
C165
C160
3.3/50
100PF
R205 33
R105
3.3K
R103
COMBO
C152
A+12V
R210
A+5VADC
C117 100PF
A+12V
5.6K
SS
S
R
RS
TS
T
A-12V
R129
7.5k
R126
7.5k
R206 33
1k
R101
1
3
2
R130
39k
LADIN-
LHOT
C161
3.3/50
R192 10K
D10
1SS133
C169 0.033
R102
G
B
C101
47/50
R131
10K
CD_ON
A-12V
J21
A+12V
C121
3.3/50
C174 0.033
L CH
C122
3.3/50
A+12V
C109
3.3/50
C181 0.1
C110
3.3/50
C277
C276
2700P
TR20
DTC114
LINE R
150P
C291 C292
2200P 2200P
A+12V
R332
3.6K
J27
TR23
2SC3327
3.6K
6
IC43B
8
7
R337
560
R340
100
J
5
5532D
4
A-12V
R336
10K
TR24
2SC3327
TR19
2SA1317
DGND
R255
20K
C185
4.7/50
MPC4000
PC AD DA
-12V
K
DGND
SCHEMATIC DIAGRAM
1
2
3
4
5
6
7
8
9
10
11
12
13
14
A1
AKAI professional M. I. Corp.
PC IO VR BLK
PC IO VR BLK
PC IO BLK
PC IO BLK
PC IO ADDA BLK
PC IO ADDA BLK
PC LCD BLK