Download EM57000 Series IDS User guide

Transcript
Integrtated
Development
System
!"
#$%&
'(() #$%&
$&&*
+*,
ELAN
MICROELECTRONICS
Hsinchu Headquarters
No. 12, Innovation 1sr Rd.,
Science-based Industrial Park
Hsinchu 300, Taiwan, ROC
Phone: +886 3 563-9977
Fax: +886 3 578-0617
http:// www.emc.com.tw
CORPORATION
Hong Kong Office
Rm.1005B, 10/F., Empire Centre, 68 Mody Road.,
Tsimshatsui, Kowloon,
Hong Kong
Phone: +852 2838-8715
Fax: +852 2838-0497
))-$+" )"
.
)." /0(((1 .
)*2"3, 2" 0
!"#
$
#% +-" 4
.
"&+5"& 4
& '
(#%& '
6&&$& )(
/
&& ).
.)
&& )/
.3"7&7 )/
)*)
+,#-$.,. /
+0 /
.. "&3 )8
.6,
)0
./$9"" )4
0
1
"
1
$23
'
3
/4"
6)" :
,5).6 0 '
6;#<9=7&7 .(
6!(73" $8(973" #&:;56(:73" 6(:<6(:73" 6. =&;#<9=7& .
66&!5,
;#<7& .6
/)" ./
/$&>&,7 ./
&)&009=
0#
/
0#
/
!3>30 /
#!60 /
/!!?: /
/.-+9&
.0
&)&0">6 1
/6,
7&&!7& .:
8)" 6.
8,
" ,&! 6.
/("
/#>
73 /( +
/
8.,++&"& 68
86"
, 6:
0)
$>&!?$@
6.
00 $23!+&
# /
4)
!7A? B@
80
100">&<(!$+#@$#AB /1
100">6++(
$+#@$#AC<1<</B 100">36++$+#@$#ACB 1#)$#AD$#AC<1<</$#AC /
4 =& !7A? B@
4:
1 E(:#(6@BF&++2$#A0 1'
1 E(:#(6@++BF9++223$#AC0 '
1 E(:#(6@++++BF9++223>>$#AC1
0 '
1 E55(5)@++++BF93+D9++223>
>$#AC0 '
1 E55(5)@++++BF*3+D9++223>
>$#AC/0 '
1/ /E55(5)@++++BF3D9++2$#AC
0 '
1 E55(5)@++++BF3D&++2$#AC
0 '
:)
!7A? B@
)()
'00">&<(!$+#@$#AB '00">6++(
$+#@$#AC<1<</<B '00">(
0 6++!>$+#@$#ACB 1
'00">30 6++!>$+#@$#AC/<1B '#)$#AD$#AC<1<</<D$#AC$#AC/<1 : =& !7A? B@
)4
' E(:#(6@BF&++2$#A0 1
' E(:#(6@++BF9++223$#AC0 '
' E(:#(6@++++BF9++223>>$#AC1
0 ' E55(5)@++++BF93+D9++223>
>$#AC0 ' E55(5)@++++BF*3+D9++223>
>$#AC0 '/ /E55(5)@++++BF3D9++2$#AC
0 ' E55(5)@++++BF3D&++2$#AC/
0 :.
*!$&3
!7 )./
'&9)# ' &9$30@#AB!+ !"#
# $)" ):
$""
3& ):
$,7A.&
"> $!#
$!#
$!#
$!0>C">C
0
$/0G(:D0G(00D(
#+30 $(
$23 $1 ! #
#
9+ /0(((
,&!?@"&!
"& & + " & ,&!" & "&&!" &
/0((( +
+ + " "
" " && > >& ,&" & +
,&!" "& ! + ! "C 2" 9+ & &!" & + > &
"&"+ /0(((1
• 9+&!+&&
+
"&>&?
">,@
• $ "&)
• $ 5 "& D& + "&
? /0(((@ + (D! "& 5
D! ? 5/0(((@ + 86D! "& 5
D!? 50D@
• $>&+8
• $ "& 5 "& >&+6(>+
• ./<
+
9+ "&++"+"
++
+>&
9+ "& +" + + && + +" + & >"
*C 9+ +
,+,& /0(((+ ""&&& /0(((+
3 & , + "& +,"&2"&!"+
+5"&
• !"#$$$%&
9+"&>&!+D&+"&? /0(((@
+&&
• (D! "&5D!? 5/0(((@
• 86D! "&5D!? 50D@
9+ 5 "& >&! ,& /0((( +
+ + &! ,& , "&
9+D&+"&&&
!>+ 5D!
+"+&++&+
+ "& 5 * & &"
+ "
$&
9+ "&5D!+"+!+"
+ " "& ?+ " , &&@ +
&
+D&+"& ++(D!
86D! 5 D! , >+ "1 & &=&
9+5"&>&!!>"&
" ,&" &! ,& ,&!"
& * 9+ * &"
+D&+"&
9+ = /0(((+,&""%:/
, 9+ , & , &! " & " ,"
"+ # ?&!@ % ?" @ $
?>&!@ B?!@
+68+&
++
"+,">,
! /0((( + & 1 , 1 9+
&&
=& " + + + =& +
,1+!E
• •
•
•
•
>& "&
7"&&5&!" >&!
32" > 9! && 9+ && &&
>! " +"
, &! 9+ " >& + , &="# • "& &! " F + "&&"
* $= + &&
++
'"#$$
/0(() & + 5"& &!"& "
!+G 6> !
&& 31 & "+ > &""!>=+&
"
&!&>!
+"
+!&&
• &"&!65H/5
• )(D=)(>*?
@
• 96>)8=6>*$ • 4D?="@
* • 8>,&& • $ !+G "& &!" • 6DH.D-G&!
,&!> • "&&"&&!"&!> • 5>&>"&&!"&!> • "&&&,&,&"& • 4 ,>& " $ "" , =&
,""
'!"#$$("##$$
/0)(( H /00(( & + 5"& &!"& " !+G 6>
" 6> ! && 31
& "+ > &
• ""!>=*"+&
"
&!&>!
+"
+!&&
• &"&!65H/5
• *?
@
!"
/0)((I)8D=)(>
!"
/0((I.D=)(>
!"
/0.((I86D=)(>
!"
/06((I)4D=)(>
!"
/0/((I/8D=)(>
!"
/08((I/)D=)(>
!"
/00((I)(6D=)(>
• 6>"6>.=6>*$
/0)((H/0/(()4=6>*$ /08((H/00((
• 4D ?=" @ * /0)((H/0/(( .D?="@
* /08((H/00((
• 8>,&& • $ !+G "& &!" • 6DH.D-G&!
,&!> • "&&"&&!"&!> • 5>&>"&&!"&!> • "&&&,&,&"& • 4 ,>& " $ "" , =&
,""
• $ ?= /06((@ > *
"++
.4-G
• "#$ %#&
!)*
9+2"+++&&
"
• $9?+
+&,&@>&"
• • 9=&
• +
• "&>"+
+&!
!!+
*2" " J/(5 ? >!@ , "& 5 "&
+++"
+
!'* $ 4Ω =& " + "1 /0(((D&+"&? /0(((@ !"##
$" • &
&
"'
"
($$!
9++++"
++ "&
>&$&&">,+8
+ >& &"
+ *%% + "& $ " + + + >& + + + >, ?"
+ >&
8 @ 9+ +, && 2" =& J/5
" ?>! @ , "&5"&
Before applying power to host or emulator board, ensure that all Interface Cable
connectors are correctly plugged into their corresponding connectors on host and
Emulation Board to prevent damage to the equipment.
'()*
'
Remove EPROM and external power (if installed) from the EMM57000 Kernel Chip
Module.
%
• !"##
$"
)&"
+ 6( & + "& 5 "& >& +
D&+"&? /0(((@
9+ &"
+ & + + +
>& 5* "& &&"+&&
"
.*
++
/0(((
6 K" K) ;6< K" K ;)< + D& + "& "&
&
"'
"!#
$"*$
+
,
-
$"$#
!'%& 9+"&,? 5@"+, /0(((
9 " 5 + ;)(< ;))< & + &
+"&"
+;)(<;))<& 59+&
" & 5 1 + 9+ &&
&!+
+L" 59+&"
:)E
)9 " + /0(() " " + L" ; /0(()<
9 " + /0)((H /00(( " " + L" ; /0)((<
!'!
**
9 , ">& , &! " "1
& " ! 4Ω =& +
!"##
$" • ) /0(((D&+"&&>&;<+
+6
If the played voice is distorted, do one of the following
1. Connect a resistor at “Rb” location (see Section 2.4).
2. Check and change externally connected battery if found low in power.
+ ''*
',&
* + >! & >! !>5"&"&
"
?+"
"&@7"
,
!" *+)/(
& " &+ + + &&
+
">& )&"
*+D&+"&? /0(((@
EPROM must be inserted before applying power
4Ω=&+;<+
D&+"&
. J/5 ?±@ +
D&+"&
69+&"L"D&+"&1,
+;F<
/ + G * & + ;6< 9+ && >! & ?MM%@ $ +
& + L" &+ + + &&
K"K);6<
> K"K;)<;/)D<
"&! *&&&&
8+G *&
+;6<9+ && >! & ?MM% MM6(
• !"##
$"
MM6)MM6MM6.MM4(MM4)@
+G+>!&$+&+L"
&+++&&
! " # " $ %
& # '(()* (()+ %
&
#
%
! "# " "#
"!$%
&
# '(()* (() (()!+ % & #
#
! "# ""#
"! "#
#
",$%
&#'(()*(()(()!
((),+%
&
#
#
- !- $%
&#'(()./+%
- !- "# "- $ %
& # '(()-* (()-+ % &
#
You can carry the EPROM one-on-one by bending out the CE pins and connect
them to the contacts on the EMM57000 modules
!"##
$" • If the played voice is distorted, do one of the following
1. Connect a resistor at “Rb” location (see figure above).
2. Check and change externally connected battery if found low in power.
+ ,!+
&! > >, +
5"&D!!
!"2"
.
.
.
,##
+,#!-
!
"
!
01***.2
34#
5 63
()
*!&' #$%
&'
• !"##
$"
''
+ + &! , ++"=""
9+&&
&&
!&!++ $&&+
/0(((+&
"
" && "
"1 ! %9 (((!&&+"
*+.
+
!"##
$" • ''
&&
>/+
$ -' -
9+ 2"+"1"&
+&&
! "
#"$
%
& ! "
#"$
)%"
'() "!&"$
*"! ) "! &" # ! +)
,"#+!"!$
+!)&& %"
-#" +!)&& "!&"$
*"! +!) && "! &" # ! +),"#+!"!$
#%"
.
/01& # "!&"$
7 #
# & & 8 8 *0 &12 5 #
4 314 151 #
41+ #
"9 6+7 #
.:5
" 4
4
%%6 # #
.
"
$
"/$! •
!
'!,-*,./0.0
" ?" @
+"1
&!&+,
+ & 9 + & " + + !
?@ & N & B" ! & "
& & "+ "7
& F&,
".):/+&
+ &
+ ;&< && +
+++,> &&";,<;$><>=
+,",&+&&"+!
+ & "&&! & ? & N#@ & ? & N$ N B@ >
,
&
+ 9+" &! + & , N# N$ N B && = > +
9+ & & ?N#$B N%@ &
,+ 9+N#$B&"&> /0(((1
+&N%&">"
*5"&
"
'!!&1
9 , &
>& ,
+ & & + & = +,!
="+"+& 7 =& &! & &! + &
!# + + & +"& > !5 + && +, "&! "+
>+& '($&
+ "&++"
++
+ > " =& ?J/5@ , + "& > ,
+ ++"
• .
"
$
"/$!
+%.
9"+
& "! "
9+",+>&
=
,+,
"
9&>
&!
, ">
9&ON&P&ON!P
&ONPON!P&
N > &
&>
!+&"+
"+&"+
">
!"#$ $ + >
+ + && &G + "& & +, &
" + + "& && >
&!>&
.
"
$
"/$! •
+!" "!%"+
/ 9+
+
+"
"&!
"9++
• 7&"
• "
• 5"
• $>&!"
• &!"
• ""
• "
• -&"
,
++"&!+=&,&
"++"+&!&,"&"
++&9+"
"+"+&&
'"1
%%!
% • .
"
$
"/$!
&
$
!, 2.$34 3.$534 3.$6134 2.$13) +!$ 7! ! (""! 2.$34 2.$534 2.$613 2.$13) +!$ # "8 ) +!$ 91 ," +
,## 8 !)! ) "! # ,:"!
,"!),$
!'
8 # "8 ) +! "! # +! ,:"!
,"!),!) "+))) +!$9&!
" &+!)4 # &" " +" ),!))
;.$"!<&""!#+"!)$
!'
(
8 # "8 ) +! ,"# !, &"! "!
# +!,:"!,"!),!) ")$9&!
"&+!)4#&""+" ),!))
;.$"!<&""!#+"!)$
$! !$!
!$!
9!!),!)!)(!),!)+
1,!);.$"!<&""!+"!)$
(! 7 + ),!) ;.$"!<
&"$
=+"#" "!&91)"!$
Function keys shown on the right column of each command are the corresponding
short-cut keys of the command.
'"
&
;%< " && &! + &&
&
>=
.
"
$
"/$! •)
)&
$
*!+,
9 + & ! " & ;N< <N!< <N&< ;N< ;D< >" >
!"" !7 /0((( !"
& + ! 9& 9+ && &! + &&
&
>=
)!
%!
*!+,
9 & + ;!< + ;!< >= + &&&!+!&
>=>&&>!!"
),*!+-
• .
"
$
"/$!
9&+; !<+ ; !<>=+
&&&!+ !I&
>=>&& !7 !"
)!"*!+-
9 & &! ! + & + + &&
,;%)!<"&+! !&
;D< >" 9+ && &! + !
& /0(((+9+&&
&!
+ ; !I & ; * + 0H :
+ & ! & /0((( +
)!"+!%.
.%!*$$
.
"
$
"/$! •
'"!
*
&
;< " && &! + &&
&
>=
">&&
&!&
D!&
&!
&>
&!;7&
)
.
)!+-
9&&&!!+&=+;7&
%< & & + ;7& 9!< >= + & + ;<>"">&&+&&+;7&<>=
& +&&++&=;"<;!#<
+ $>&! " > , + &! "
! # + = ;$%< + &! "
> ,+$>&!"
!+
+&&&!,
"
• .
"
$
"/$!
/0010/01
!$! )
!$!
*!+-
9"+&&+,,&
+; /0(((<; /0((<+&+
!" " + & D >! !" +
+ " >! >! !
+ !" " +
D,)!"1+
.
"
$
"/$! •
/0010/0
!$! )
!$! *!+-
9+ ; =& & "< &
>= " >& & + "&
>! & +"
+ &? @*"&
9+ > " + * "&
+,9+; =&&"<&
>="
+ " ! " & && " + 2"
+ + )H
/ $ + & +
; =& &< " ;7&< + &! +
>=./)/&./)/ !"
)
!$!*!+-
9&;&&<+&!+&
• .
"
$
"/$!
>=9+&
>=+++./)
+"&+;N>;&+&&"&&!
&+"&>
.
"
$
"/$! •
#"
)
!$!*!+-
$&&
"+2"./).&+
; =& &< ;7&< " 9+ &! + ; =& &< &
>= 9+ &
>= +>,
9 & ! + >! & + && >
>& & + "&
>! & "
& *
"&
• .
"
$
"/$!
'"!
)
*%%!
2
!)# "! !)$
+# "!!)+"!#")$
# "!!)+"!#")$
!
9! ") !! + ""! "! # )"
,"!),$
(
&
!
#!) +!&)","!),
%"!)# "&")($
#&"!) "!$
# "&")(,"#)"&&!($
.
"
$
"/$! •
'"'% 9&>
&
"
3
$
%%!
7!#")#$
7!#")#+!)
7!#")#+!))"#
(!"!&!+ !)$
7!#")# "!)
)"#+& ""!#&"$
7!#")#!))"
#+& ""!#&"$
&!&#","!),$
( ! ( :+!) % • .
"
$
"/$!
'"234
(
%-
%%!
";.$<4;.$<&""!;.$"!<&"
"!)"#6" &"
+ ;N$< ;N B< & $>&! " , + &! " ! + &
> " &! + & "& * +
./.
9+&!">&
>&
+#&"
,&
,!"&+;&<
&&"&&!&+&1
#9 & " + + #9 & "
,& + &! & + ;#7&< + ;√< #9 & && > + ;# <
,9+&&
&!+;;&
>=
.
"
$
"/$! •)
)*!+-
'""4
%%!
#'!&;.$)<&""!;.$)<&"
!8 919 &">.91? "! ) >.$61.$15?
&
+ ;N#< & &! " , +
$>&!"
! + >+ $>&! &! &!+&!+">, 9++"$>&!?
@+&!
&!>,?
!@
• .
"
$
"/$!
'"56
#$
%%!
&
$#$
!!
(!+
7!!#,"!),&# "8) +!$
)!),"!),$
'"!),"!),$
!" !#&,"!),
"
"C " & ?&
&
&
@&&&!,"
&"
"
"
& =& , + > + ++&&">&
.
"
$
"/$! •
&& " ! &
+& + &! 9+ " ! + G
>&
>
"*
*
• .
"
$
"/$!
(!
!+
,!#$
+
9+ ,& &! & /0((( + "& + + "1 ,&
;#<=&5&;#<"6
++
5& ;#< & & + "& 5 "& ?"
9& " &!
"@ ,"&
,& ;B< & 9& " 66++
2 .,0+1
9+"1;#<&,!&!
+"+
+&!>
2" *./+.+!"
+
" &! 2" & + ;#< = & "" +
;#< = & "+ + ! + &&
&!"&
;#<&
"&5"&=&
66
/$-
"&/$! •
+012/3-'-
65/7
67
61837
67
!&2'73
#!)&#&"&
'!$
60.&507
50
99999
!,!
2'73!)2'73
50
99999
15 -##$ 5:;
#&
"1"!"";$!
$"
9+=&;#<=&&!&E
• 9+ & ;!< & ;
<&&&">,
• 9+;!<&++&!&+
= & & ! ;< ;L<
;
&<&&&++
• 9+;<&++&!=
&+>+&
• & ?= + & ?&@
@!>>!+
&+;< $&&=
"
&!&
2!
74
+ " ;9 < ! && >! 9 ,&" 9+!"+;#<=&"1&!
2!!8
74
;$K*<!+&&&!+
+&
&,& +"+!&&>!&,&
+&&
%' !' ' (' "' )' % ! ( " )
+;<&&"&+;$K*<&,&
• /$-
"&/$!
2!'9
74
;%F# 9% < & ! + >
! ;9% )< ?=& + 66 >&@ ++;#<=&
&;9% )<+&
&!+;9% < =&)6. +;#<&>+;9% )<;9% <+&+
!2" 9+&&" =&
6. 3 + " + & > &
+ ? +
"&&>,&"@9">2"&+9)
2!2
:
!74
;9% )< ! + &! $&& >;9% )<;9% <!+9)
$&&;9% <!++&+9
&!
+ &! >! 9 9+9&&+&
+
""&>!&++&&
=&
@!'!
#8 #8
! 6"!
.
.
.
#0
)
#0
#8
)
.
&
)
)
)/ (/ )/ (/
&$!" > "
+ 7
)"!>
# !
@!*
) "
1":!#$,/
$,#$ 0$&
"
!!4
+ 9 &! + "&.0?6,&,&
&"
+& @ 9+ , + , &,& &!+>&>&
-
-0$$,$5$"&
"
&#
!"
#!"
!
#!
! !$
#!$
!%
#!%
!&
#!&
!
$
"
#"
#
$
#$
%
#%
&
#&
%
'"
#'"
'
#'
' '$
#'$
'%
#'%
'&
#'&
'
&
("
#("
(
/$-
"&/$! •
;(<?G@"
9+ "> 9 & +"& = //
+ ?&"
;(< ;< + + +
@
,
!!!4
9+">>&">2"&+">
9&
" + &&
"& > "
"+&!>
,
!
)%
)%
)%
"
"%
$
+3&' *012/3-'
!*+, +>&&;#<=&
& & +& &! "
"& L % + + >& & + & + &
"&!&!
"+&
"
+&
!
"#$%&'#&
'#&(
%)
%)
%*
(
%*
%)
+
(
(
(,-
.,-
(,-
.,-
(
/
0
0
0
0
)
.
-
)
-
1
+
(
(
(
+
(
(
(
(
0
2
0
3
• /$-
"&/$!
!*+, 9+=&+"&&&;#<=&
+ ;9% )< +& &! "
"&
L % & " +
=&
'#&(
.
)
(
(
(
,
,
,
,
,
,
,
,
,
,
20
)
)
-
+
(
%*
+
(
+
(
(
(
(
!!0
,
!
,
4
5
,
,
%
1-
1-
*
1-
%
1-
!
1-
*
1-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
,
,
,
,
,
,
,
,
,
,
,
'#&+
%
1-
!
1-
*
1-
%
1-
!
1-
*
1-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
,
,
,
,
,
,
,
,
,
20 ,
%)
)
!
)
!(
)
(
-
!
-
!(
-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
/!
6
!!0 ,
,
,
,
!
,
,
,
,
(
-
!
-
!(
-
%-
-
!-
-
*
-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
.,-
6
$
,
4
,
,
,
,
,
,
5 ,
/$-
"&/$! •
++'4)*%012-'
++;#<&!&!
&
&!"="+9&?;74<!@
#$ "$
"5"#
$"$!!"
"+&!&&+"&
> 7 + 5 "& ! ! ! &!+"&
&!
+ "&
&! "! + ;#< & +
&! * + !& "& + &! +,
9 , + ;#< & ? + ;B< & + @&&+E
)&7&"
+ , ? ;7< !@ , ? ;76<
!@
.$,
;#<&
&&
"&&! , ;B< &
+ & && +, + & &+!
• /$-
"&/$!
!
"
9+ &" 9& + "&"&! , "1 & >+ = !
&! & ?N#@ >! ! &! &?NB@ 9+"&>2"
N#NB&&!
&&'5'% -
"!,11;
3+"&++&+&&
",
)9+&"+,+&=N &+"&;7(<;7
)< + F& ?F@ )( ">&+ >! + "" $ ?$@ +>&>!
>&!;7<?++>>!
@++?
F@
" + + " , + $#$ ;
#$"
$" • "!!1$
7 ( & + & "&+& 9+ 9& && + , +& 9+ , + +& ?
&!+&;(<@+&
+&
)+&!& #+,+
+&?&!+&;)<@
+&
"!'1
3 ! + &! & > + &
9?&!+&)"&G@"&9?"&G
>++&)@
7)&"&&+& 9+&&+9&&&;+&
&!&-
+"
• +&!
&;<?
;
< ; < ,@ "+ && >
&
&&!&&+,
+
+&)+#& • + & + ;< &&
, + +& ?2"&! "& @ $! +
,+;<
"!24*<41
&!+! ?+@ " 9+ ! , &+&!+,
&;<
;<, "!"1
9 " 39
"!5=
Q ?"& Q"@ +"& > + &&
">
<:8< <)(< ;)84< ;):< ;6(< ;.8(< ;.46< ;64(<
;6(<"&&!"">
• $#$ ;
#$"
$"
/ '6. 9+&&
+">+"+"&
>"+"1&
!'
5
5
5
6
65
(
(5
,
*
*
*
*
*
*
*
*
*
*
*
$
$+
$,
%)
%"
%
% %$
%%
%&
%
%+
%,
$
&)
&"
&
& &$
&%
&&
&
&+
&,
)
"
%
$
%
&
+
,
+)
+"
+
+ &
+$
+%
+&*
*
*
*
*
*
*
*
*
*
0$<
9+ &&
+ + + >, > &! "> ,>!9&
!'
5
5
5
6
65
(
(5
,
*
*
*
*
*
*
*
*
*
*
*
!"
#!"
!
#!
! !$
#!$
!%
#!%
!&
#!&
!
$
"
#"
#
$
#$
%
#%
&
#&
%
'"
#'"
'
#'
' '$
#'$
'%
#'%
'&
#'&
'
&
("
#("
(
*
*
*
*
*
*
*
*
*
#$ 6=9&$=9270$<
!*+, ..<?64@+">&;#)<+
&! "> ;8< ?46@ > ;-)< & ?;6<@>;)<
$ + >, + &! .0 + > +
& -, + = + 2"! >" + ! ?+ > + +
+
& ,&"@ & + .0 + 9& &&
"+&&+&! !*+, +++&,;6<;8<
;8< = + N# &&
&& + ?@ + & 7&&!
;8< & ;-)< ;6< & ;R#8< 9+ 9& , &&> +
" "&&! + + &,& " " > " ? /6 +
+@
$#$ ;
#$"
$" • 9 , & "
> &
+ " +"& 2"G && + "1 &+
&
"',1<*
7 + & + 9& , &&2">& • 32">&&?)8 4 6
+& +& @ && & > &
+ & 9+&&&>(/>
(/>++
• 3 & > & + & &!
(/ > +& + & &
+&>&
+ 9+&&
>&++"&
>">
&
!
&
(.
!!
"!!
&
$
%
&
'
(
)
*
% • $#$ ;
#$"
$"
7!
,
!,!
,
!,!
$
$
$
$
$
$
A A A
$
$
$
A A A
$
$
$
$
$ $
$ $ $
$
$
.
A
$
A
$
$
.
$
+
,
$-
$$
$
$
A
A
$
When the MIDI file uses quarter note as beat base, triplets are shortened or
stretched, but the resulting beat length remains equal to the total of the original
beat length.
When using triplet as beat base, the resulting beat length for each note will be 15
(3/2) times longer than the original beat length. If the IDS Translator Program
cannot find a perfect full beat for the resulting beat length, a rest note is
automatically inserted to make up for the beat length difference. These are
illustrated in Items 8 (dotted quarter note), Item 10 (dotted half note), and Item 11
(whole note) in the above table.
However, for the 16th notes and dotted 8th notes (see Items 4 and 6 of the table)
events, inserting rest notes cannot help to make up for the resulting beat
difference. The leftover beat length are accumulated until it is long enough to be
implemented; e.g., when a 16th note occurs at the second time, the translator can
now insert a rest note of 0.25 beat length at the end of the 16th note.
+%-''4-' ++
"
>,+&&
,
"1&&!?N#NB@&
) & + ; #< + ;&!< " &&">& &+
/
!0$#$
1
$#$ ;
#$"
$" •) 9+ ; 7&< &
>= && &! + >& & ! + ! + + "
?N@&& . ++&>,+;<>" )
*!+, 6 9+ ; 7& 9&< &
>= ? & >&@ &&
+ &! + , ,&&+&
>= ;/,< >" , ;01< >
*
!!*!+, • $#$ ;
#$"
$"
% + && "&&! , +
,&!&+!+"1"
& & && " + ;N#< NB<
= +& + > & + "
&
/$ &
+ ;9&< >" , + & & + &
>= && ?
" + > + @ & & 2" " + &! & ;N#< ;NB< & + " ! 9+
&
>= + && + + +
,&!& /-
$!
!$#$
/-)
*
/
")
*
!!*!+,(.
'
8+"+&
+&
++
+"!"+&&>"+1
+!+,
0+&&++>,=&
+ &
>= ? >&@ && & " & +
& > 7 & ;# *D< &
&
> && > > "& + +
2"&>
$#$ ;
#$"
$" • !!,!
!+,
• $#$ ;
#$"
$"
#
$
7
9+ &" " ,& ! +
"&"&! , "1 + & ?;"< @ >+ + ! " & ?N%@ >! !
"&?NB@
7%.**%'&4 5!
*&&&
6"
D%>,:".
,0.>&)#6>""3>
,:".+2 $>D>,
.
%>,(7.2
6>#
)-#3
-">"2"
/$-
"0/$! • /
1
72"!
"
72"!
$&"
"
$&"
72"!
"
$&"
"
-
-
..
/
0/
1
02
351
Exchange channel 1 and channel 2 for the current node
(Alt+E)
6.
02
37+1
8
02
371
Insert a node as the previous one
(Insert)
"
"
02
31
4.
02
341
6.
02
371
8
"
02
37"1
8
02
37 1
..
'
%
%#$ 89
:
)8;*9
9:
;
• /$-
"0/$!
$%"&'(
9+.)42"!,+&)
+&$+&!,+&)
The random noise is only permitted in channel 1.
$)*(
=&"?()/@,+&)
+&
$+*,#(
=?@,>&
6
8
4
)(
)
)6
)8
)4
(
6
8
4
.(
.
+"
/0(((>&!
"&
+ " + ,&" + " &
Because of the sensitivity in frequency and amplitude window, it is recommended
to select the node by pressing the mouse on the top or bottom of node.
5!!<74
+>
D>#
)-#3---
>
%36>>
%3"E . /0
;B<
;!)<
9! #8+&%C$$#$
1 #8+&%C$$#$
;<
=+" :"! #8+&%C$$
;1,!<
=+" :) #8+&%C$$
;,<
,"! #8+&%C$$
;1,!,<
,) #8+&%C$$
;D,<
," ##,"!),&%C$!)$
;D1,!,<
," ##,"!),&%C$!)$
;D"#,<
9! #!)"!)(8"!# $
;D6&,<
1 #!)"!)(8"!# $
;"#,<
9! #!)"!)(8"!# +$
/$-
"0/$! • ;6&,<
1 #!)"!)(8"!# +$
5!'
*+&&&
6 +
D%>,.
,0.>&)#6>""3
>,.+2 2"
,(.+2 9+ ; &< &
>= ./)
">&&
&!
+&$++"
,& ! , && > + + +
8)&+8) 7% &*' ***'
9 , + + & + ;N%< & + " ,& ! & + ;"< >" + & +
;,%;>""
9++>& %%! ++!%
• /$-
"0/$!
$ + + ,& ! && &! + ; &<
&
>=+>&
)
.
*!+,. ..
% 9+;&<&
>=./)$
&
+ & + && &! + &&
&
>=
!" " &! + + +&
9!
!
%
9 & + ;+&< &! + ;+&< >= +&&&!+;+&<&
>= /$-
"0/$! • 9&+;9<&!+ ;9<>= +
&&&!+;9<&
>= $ + + " ,& ! , +>&
..
'
%
%
'%
9+" ,&!,
+8)
% • /$-
"0/$!
7+ *% 9+"
,
+&"
&&&
) 9++
+&+&+>
"&
"&
9++
+,&"+&*G"
. 9++2"!+>
/$-
"0/$! • )
%
&
'(!%)))
6
5'48
9 #11
9+ &&
+ $>&! & & &>& /0(((+
"
#$
&)@7
%&'
&@
%&'
(((((((((((((((((((
)
&.@+
&781...(
(&78!.
&6@ Q3
*+
&/@>&!
>
(((((((((((((((((((
(((((((((((((((((((
!*!+
/$-
"1/$!,$
• ((((((((((((((((((
((((((((((((((((((
&8@
>
" %"*!-&
+ & ;*F*$< + & >&!&
&-
+&&+,&!?#B@"
?%B@&2""1&
.!-&
! /0((( + + "1
2"&9+"&>+&&
E
>("?
>("?
A>("?
>E("? >
("?
>
("? "?
>A("?
>( /-
; Q3<+
",&&&"
++2"&>&!
!
">
)
*,'0"1"*21
9++&
9+
"
+ , & &>& ; *%< ;%9<
; *%< + "
?>!@+
• /$-
"1/$!,$
$0"1"*21
3 ;%9<,
&&>&=&>,
+ + ;"<
;&<
“Wake-up” is initiated whenever a rising /falling edge pulse is provided to Port 2
(P2.3, P2.2, P2.1, P2.0) for during “sleep” or power-down period.
Without invoking the KEYB instruction, “wake-up” is initiated whenever a rising
/falling edge pulse occurs at Port 1 (P1.3, P1.2, P1.1, P1.0) or Port 2 (P2.3,
P2.2, P2.1, P2.0) for With the KEYB instruction is invoked, “wake-up” is initiated only when a rising
/falling edge pulse occurs at Port 1 (P1.3, P1.2, P1.1, P1.0) for /$-
"1/$!,$
• #!34
&
%-
"
8!29 :6#52:
-8
;"
8
.
<:6=<52:=
.
'-> 28"
$
'-> 28
2
%
'-> 28 2
&
'-> 82
2
'-> 8 2
2 +
'-> 82
; ,
'-> 8 2
;$
")
'-> :'8-#/22 ;%
:'8-
""
'-> >-!#>/22
;&
>-!
"
'-> '-/:2
;
2'-/:
" 462
"$
'-> '?2
"%
'-> '?#/22
;
"2
2
2 42"
;+ 2'
')@"%
))))@""""
"&
'-> 2'?
'2
"
'-> 2#/22
2)@"%
))))@""""
"+
2/ 2'?
0210'?1***A021
",
-5 2'?
0210'?1***A021
)
B-5 2'?
0210'?1***A021
"
82C:)
;,
'
82C:"
;,
'
82C:
;,
'
$
82C: ;,
'
%
82C:$
;,
'
&
82C:%
;,
'
82C:&
;,
'.
+
82C:
;,
'
,
D'8 !2E:!
6
<!2E:!=
)
62D: #/22!2E:!
4021F#
<!2E:!=
)@"%))))@""""
"
62D: '?!2E:!
4021F0'?1
<!2E:!=
6D8 !2E:!
4.
<!2E:!=
6D6 !2E:!
;")
4
.
<!2E:!=
• /$-
"1/$!,$
$
'-> 4':5#/22 ;""
4':5
%
62!! !2E:!
0
.
1
&
5:
56
+
:/
:
,
-8
$)
G:9E
5
;"
;" 5
68
%9 N) +,&&S9+,&>&+&
+&&
E
,)&
$ +&
%)))
%+"$
&,$$
+&"
"" &$
"&&&
,&+
$$&$
%")
%,%
"$ +,,
"",)%
"+%
$) $%$%
%)+
&),+
% ,%,
"%))
", "
$),+
$& )
% ",
&%)
%&
,&"%
" "%+
)+
$"&
$"
%$ %
&$")
+" "))))
" ++,
$ $+)+
%%%&
&%,
+)&%
")$"
"$)&
%)))
$ ")
$,)
%&+
&%
+
")+)
"%&%
+
"%)
R*$9 >R6R/TR6D/DTD6
/T-G&!
,&!R*$9 >
& > R6((( R.((( &!
?-G@ + & && + ,&" ++ +
>,&+&!
1.When the speech file is played, the content of A register will be modified.
2.If many speech files be sequentially played, it is recommended that after
playing a speech file and detecting voice to be over, next speech file is
sequentially played.
N ) 6> " , !
23 !
22 9+
",!
2
N. 6> " > ;)< +
>&&>S+&&>
;)<;(<
+
N6 . 6> " . . > ;)< +
>.&&>S+&&>
;)<;(<
+
.
/$-
"1/$!,$
• 1.When P2S(P3S) is set to “1”, note that the corresponding pin must NOT be
floating. This will further conserve power during power-down mode.
2.If Em57000 series chip start or reset, the bit in P2S(P3S) initially is set to
“1” and the bit in P2(P3) is set to “0”..
N/ ;5 9 R9$9$< " + &!?>"@"?@&!
9+,&"
9$9$>+&&
'
%
&"
&%
)
&
+ ,
")
0H1
""$
" "
"% "+ ,
)%
$%+
,"&
)
+
&
$
)
"+
"&
"$
"
")
+
&
$
0H1
When the instruction is executed, the content of A register will be changed.
N8 ;5 5#R5$9$< " + &!
,&"
+ ,&!" 9+ 5$9$ ,&"
(0+(+&,&"0++
+
When the instruction is executed, the content of A register will be modified.
N0 +>( 2"&;)<..&&>
# ""&&&+
,&"+
++
"" + >( 2"&
;(<..&&>
&"
+ >.H ) 2"& <)()< . &&
.4D-G2",+&""+>.H
) 2"& <(((< . && > &"9+"+!&
1. If user uses P3.3 as an LED output by setting the bit0 of MODE register to
“1”, it is recommended that user changes the bit0 of MODE register to “0”
every time the chip switches to power-down mode. More power can be
saved under this mode.
2. The infrared ray application is provided for EM57100~ EM57700 except
EM57400.
% • /$-
"1/$!,$
N4N:+ !
2 + )8=6 > *$ ,&>& +
!
23!
2
!>&>!+
" ;$F (< ;$F )< + !
2 !
22 4 ! > & >! + "
;$F (<H <$F 0< )8×
×6 > *$ - ;U<
>V())/W
N)( /0(((,+8>+&
,&"?))))))((((((@;,&<&
;)<++";K<="+;,&<
&
;)<+
&&+
&;#$ #<
+ ;,&< &
+ + = & >&!
&&>="
N)) ;5 9 *R9$9$< " + "
&9+,&"9$9$>+&&
9$9$
(
)
.
9"&?D-G@
86
4
)
>,&?@
)
4
.
86
When the instruction is executed, the content of A register will be changed.
N) ++";*9<="+&8>
(((((( $ + + ;,&< &
;(<
N). ++"D B="!
23!
22
+ " "&& & && +
) )(D
?=@ " " >& + 9+",!
2
/$-
"1/$!,$
• )
%
*
(+
'(!%))
:6 4-8;9 9+ . ! ! & /0(()
+
• +
?!@
• +
""
?!64
))8@
• +&!
?!(@
9+&+"&>&!
/$-
"2/$!,$ • %
>11<:
?@A
9+&&
++>2"+&+
*4)6+&+
& ,
!"#
&)@7
,45,
&@
,45,
'''''''''''''''''''
!
2
&.@+
678
&6@&
67
8
59
"!
5,
5,
'''''''''''''''''''
&/@()..(.)...
& ?
@
9":
9":
95,
95,
''''''''''''''''''
&8@+
4%"*!-&
+ & ; $B< + & <!<&
9+&"&&">&
% • /$-
"2/$!,$
%
13
12
VDD
P3.3
P3.2
P3.1
P3.0
VO
OSC
11
10
TEST
VSS
P2.0
P2.1
P2.2
P2.3
1
2
3
4
5
6
7
8
9
,$&
&-
/$-
"2/$!,$ • )
%
>!11<
*
?@B2:>:!:5A
9+ &&
+ + > 2" + ; !<
?X64))8@&+
""
*
4)6+&+
& ,
!"#;
,45,
,45,
'''''''''''''''''''
&)@7
& ?X64))8@
&@
!
2
&.@+
678
67
8
678
&6@&
59
65"!
5,
5,
'''''''''''''''''''
<5"!
5,
5,
'''''''''''''''''''
9":
9":
95,
95,
''''''''''''''''''
&/@9
&8@"".
&
?....).(@
&0@+
4%"*!-&
+
&; $B<+&
+&&
• /$-
"2/$!,$
%
E
F
FE
F
F
12"34
A$A4A$4A$4A$
A$A4A$4A$
A$A4A$
A$A
9+&"+&&">&
13
12
VDD
VO
OSC
P3.3
P3.2
P3.1
P3.0
11
10
TEST
VSS
P2.0
P2.1
P2.2
P2.3
1
2
3
4
5
6
7
8
9
,(.$&
&-
/$-
"2/$!,$ • %
13
12
VDD
VO
OSC
P3.3
P3.2
P3.1
P3.0
11
10
TEST
P2.0
P2.1
P2.2
P2.3
VSS
1
2
3
4
5
6
7
8
9
,(/$&
&-
13
12
VDD
VO
OSC
P3.3
P3.2
P3.1
P3.0
11
10
2
3
4
5
TEST
VSS
1
P2.0
P2.1
P2.2
P2.3
6
7
8
9
,($&
&-
• /$-
"2/$!,$
%
13
12
VDD
VO
OSC
P3.3
P3.2
P3.1
P3.0
11
10
2
3
4
5
TEST
VSS
1
P2.0
P2.1
P2.2
P2.3
6
7
8
9
,($&
&-
/$-
"2/$!,$ • %
>'11<4
?@B!$A
9+ &&
+ + > 2" + ; !(< &
+ &! * 4)6 +
&+
,
!"#;
&)@7
,45,
&@
,45,
'''''''''''''''''''
!
2
&.@+
678
67
8
678
&6@&
59
65"!
5,
5,
'''''''''''''''''''
9":
9":
95,
95,
&/@9
&8@+
4%"*!-&
+
&
F
12"34
9+&"&&">&
• /$-
"2/$!,$
%
13
12
VDD
VO
OSC
P3.3
P3.2
P3.1
P3.0
11
10
2
3
4
5
TEST
VSS
1
P2.0
P2.1
P2.2
P2.3
6
7
8
9
,($&
&-
/$-
"2/$!,$ • %
>2,*&@C@B2:>:!:5
@B!$
9+ &&
> + & + +
!&
4 &-
+ && + , &! " &
2""1&
4 .!-&
! /0((( + + "1
2"&9++"&> /0(()+
4 5&
==
9+ &&
6> ,&>& "1 &
! & +
!>
&" "> + ;678<(">+
(
)+;<>)8
5
5
5E
4
44 4
5
5
5
44
+ ( &"
9+&")
59
! "& + , +& + + &!
&! 59 &!
&&
"&&
"+
&"
&!
4 !650--")7
3="
+
";<?Y)Y@$
=>!;;&&>!4
+
9+>!
?@9+>+&&
• /$-
"2/$!,$
%
)95, + + "& + +
+ + 2" +
+;Y<="
>95, + + &&
"& + +
+ + 2" +
+;Y<="
.95,>+5, + + "& + +
+ + 2" +
+ ;Y)< ="S + +
&&
"& + + + + 2" + + ;Y<="
6
$
+
;-<
/
$
+
;#<
89?
"& >
;-< +
09'
"& >
;#< +
4@
%+
1. When user uses the Easy file format, the Port2 is connected to the
1M pull-down resistor (refer to Appendix A). The sink current of
Port2 will be smaller.
2. When the Easy file format powers on, if the I/O pin is immediately
defined as “X” (don’t care), the I/O pin will set to “H”.
3. During executing the Easy file format, if the I/O pin is defined as
“X” (don’t care), the I/O pin will be unchanged.
4 +"11" - -" )78 6466$ )78
9
+ 3 " =" + 9Y)9Y
9
" > && >! & ;%< + ;%< + "> ) 6 + !6
) 4 + !4 T ) ( + !(
&>!?@
9+
&>+&&
E
/$-
"2/$!,$ • %
)95, + + +
+ 2" + + ;Y< ="
>95, + + & +
+ 2" + + ;Y< ="
.95,>95, + + + + 2" + + ;Y)<="S++
& + + 2" + +
;Y<="
6@
>&+
?@
4 $5--")78 6466$
"" + "" . 3 ! . "" + +"& +, ""
"+Y)Y
9+""&&>!+""
.
&&
9ABCAD +.)(....).(
,&! ++.(&!>>+
&&
) +
>;-<
+
>;#<
. 9?"&>
;-<+ 6 9;"&>
;#<+ / ?,"&+
8 ; ,"&+
0 + Y +
/0(() &&.?....).(@> Y" !6&!... .)" !4&!... " !)&!.." !)8
4 @ +
"">"+
% • /$-
"2/$!,$
%
When the Easy-n format powers on, if the output pin is immediately
defined as “X” (don’t care), the output pin will set to “H”.
3 $B+.
">&+!
"!>=-+&&
">
;M<?1@E
5FE
A$>7?
5F
A$>7?4A$>7?
5F
A$>7?4A$>7?4A$>7?
4 0-
3 ! + 2" +
+ + Y) Y + +
2"&+"&+//+?="@
&"
+
+2"&&!
> >" + & & " >
+ ?Z@
!>& " ," & + +
2"
+ + 2" ! +
&&
"!2"
•
,,
•
/Y,, E/!"#'>>>=!"#'
"+ ;9Y)< + $B6H(
•
15,, E/!"#'>>>
"+ ;Y)< + ""
. $B6H)8
•
15,,>
C15,,D E/!"#'>>>
"+ ;Y)< + ""
. $B6H)8
E/!"#
"+;Y)<+
$B
When a section is playing, in order to change the Out_State and do
not interrupt the playing by pressing the trigger. User must use this
parameter and connect the “
” parameter to return the
section’s playing.
•
•
9F,
"+;Y)<="++ 2"+++;Y)<
,4,7+,/,8&!+,&!" &;&<+&!
/$-
"2/$!,$ • )
%
+,&"&>+6 /T6D/DTD 6/T-G&!
,&!"&>& >6(((.((( &!
?-G@
•
,4,7+,/,8>
C,4,7+,/,8D &! + , &!
" & +" &&
+ &
• ,4,7+,/,8G &! + ,&! "&+>! • ,4,7+,/,8G>
C,4,7+,/,8DG &! + , &!
" & + >! +"&&
+&
• H,4,7+,/,8G=C,4,7+,
/,8DGIJGK &!
+&&&
&! &>&&
+++&
>
$E+">
&>&&
)
%E + "> && &
)
&E+">&&&
1. Section name can be replaced by #number according to the
sequence of Section name in the section definition. The minimum
number is “0”.
2. The all parameters in the path definition can be placed in the “{ }”.
•
!9B + &! ?>
"@"?@&!
;<"&>+&&
'
0H1
% • /$-
"2/$!,$
%
&"
&%
)
&
+ ,
")
""$
" "
"% "+ ,
)%
$%+
,"&
%
0H1
)
+
&
$
)
"+
"&
"$
"
")
+
&
$
•
$B
+,&!"&!
,&"4;<! ,&"(0+(+&
0++
+
•
%,L78
&!;<9+"&!" (((47=&&!?(@ (&!&!?48@ 48&!
•
6,B
E/!"#=!"#'>>>
+&+&+""+
;J<;<
“n” can be number 1 to 8 of the eight flash rate variables 16/ Hz.
The parameter for EASY-20 format can not be used.
•
B>M +
;< L
Data can be 0, 1, 2, 3, 4,......15.
For the following paragraphs, if DELAY(n) is not used, Mi, Mj and Mk
can be defined as one of the followings according to “EASY” and
“EASY-n” format:
5
5F
5FE
5F 5F 5F
G
G
G
GA
GA
G
Or if DELAY(n) is used, Mi, Mj and Mk can be defined as one of the
following according to “EASY” and “EASY-n” format:
5
5F
5FE
5F 5F 5F
4
G
4
GA
/$-
"2/$!,$ • %
%
•
B9
E/!"#
+ ;< +
+;<
•
B9A
E/!"#=!"#'>>>
+ ;< +
+ ;.<
According to different “EASY-n” format, the following bits of register
“Mi” will be “0”.
•
•
$B6
$B4
?(@
$B)
?(@?)@
$B)8
?(@?)@
?@
BM? +
;<+ ;L<&"
BM' +
;<+ ;L<">
•
BMN>
BM + ;< +
;L<"%
•
BMNO>
BMO + ;< + ;L< "% + ;<
•
BMP>
BM/ + ;< +
;L<6
•
BMPO>
BM/O + ;< +
;L<6+
;<
•
BMQ>
BM*/ +
;<+
;L<@6
•
BMQO>
BM*/O +
;<+
;L< @6 + ;<
% • /$-
"2/$!,$
%
•
B3M>
BRM
•
7M8B> +;L+<>
;<)(
+L>(.
•
9B> E/!"#
+ "" +
;<
•
9AB> E/!"#=!"#'>>>
+ "" . +
;<
+ ;< +
!6
;L<
1. For “Easy” format, user must take care for the status of trigger pins
(in port2 or port3) to let trigger state take effect.
2. According to different “EASY-n” format, the some pins of port3 are
used to be scanning pins, the following bits of register “Mi” and
data will be ignored.
!"#'
'''
!"#'
)
!"#'
)3
!"#'
)3
• S+5,
+
;< 2"&++2"++ +;Y<&&>="
• SM+5, +
;<2"& +
;L<++2"+ ++;Y<&&>="
• 7M8S>+5, +;L+<>
;<2"& )(++2"+++ ;Y<&&>="
•
SC**DC+5*+5ID ?.@?)@2"& ;((<++2"++ ;Y(<&&>="?.@?)@ 2"&;()<+
+ +;M<?1@+2" &&="=?.@ ?)@2"&;)(<++2"+ +;Y<&&>=" +9+="">+ +>+ >;VW<)8
/$-
"2/$!,$ • %
%
1. ”X” means “don’t care”.
2. For ”Mi?[d x d x]”, the parameters in “[ ]” can be “d” or “x”, the
decision of jump or not is depended on the corresponding bit of
register “Mi” that is defined as “d”.
•
C+5*+5D +
;<2"& ;(<++2"++ ;Y(<&&>="+
;<2"&;)<+
+ +;M<?1@+2"&& ="=+
;<2"&;<++2"+ +;Y<&&>=" +9+="">+ +>+ >;VW<)8
•
9**S:>$+5, E/!"#
+ == ;-< <#< + +
2"++;Y<&&>
="+;==<!(
)T.." $B •
6S:>$+5, E/!"#'>>>=!"#'
+
9*;-<<#<++
2"++;Y<&&>
=" + ;9*< ! ,&" 9*) 9*6 " !6 9*) 9*4" !4T9*)9*(
" $B(
•
9SCTATTTD+5, E/!"#
+ ?. )
(@ 2"& V1. 1 1) 1(W + +
2"++;Y<&&>
=" + 1. 1( >;)< <(<
<M<
•
9SC**DC+5*+5ID E/!"#
. ) 2"& ;((< + +
2" + + ;Y(< && >
=" . ) 2"& ;()< +
+ + ;M< ?1
@ + 2" && =" =
.)2"&;)(<+
+2"++;Y<&&>
=" + 9+
% • /$-
"2/$!,$
%
=" "> + + >+>;VW<)8
For ”P2?[d x d x]”, the parameters in “[ ]” can be “d” or “x”, the
decision of jump or not is depended on the corresponding pin of port2
that is defined as “d”.
•
9ASCAD+5, E/!"#=!"#'>>>
+ . ?.. . .)
.(@ 2"& V. ) (W + +
2"++;Y<&&>
=" + . ( >;)< <(<
<M<
“X” means “don’t care”. The following parameters must be “X”
according to different “EASY-n” format:
•
5F
5FE
5F
5F
F
9
949
94949
9ASC**DC+5*+5ID E/ !"#= !"#'>>>
.. .) 2"& ;((< + +
2" + + ;Y(< && >
=" .. .) 2"& ;()< +
+ + ;M< ?1
@ + 2" && =" =
...)2"&;)(<+
+2"++;Y<&&>
=" + 9+
=" "> + + >+>;VW<)8
1. For ”P3?[d x d x]”, the parameters in “[ ]” can be “d” or “x”, the
decision of jump or not is depended on the corresponding pin of
port3 that is defined as “d”.
2.“X” means “don’t care”. The following parameters must be “X”
according to different “EASY-n” format:
/$-
"2/$!,$ • %
%
5F
5FE
5F
5F
F
A$
A$4A$
A$4A$4A$
•
9$"#S+5, +,&!" &!
++2"+++ ;Y<&&>="
•
5
E/!"#=!"#'>>>
.. # "" + &&
&+ , ,&" +
"..&&>&!
# "" " ""
"+"..
&&>
&"
If P3.3 is set to be an input pin, the input function will be disabled
after under EASY format. This parameter can not be used
under EASY-20 format.
•
5
E/!"#=!"#'>>>
"# ""&+"
It is recommended that programmer turn off LED output flash function
every time he defines a chip power down to further minimize power
consumer during power down. This parameter can not be used under
EASY-20 format.
•
6!<6
"+&+" ""+
•
9
+"&!&!
, &!"
•
!%
+++
1. Path equation “PATH0” must be defined for power on execution.
2. Only when one of Port 2 pins gets a rising/ falling edge pulse that
the EM57001 series chips will wake up from power down under
EASY format.
3. Only when one of the trigger inputs gets a rising/ falling edge pulse
that the EM57001 series chips will wake up from power down
under EASY-n format.
% • /$-
"2/$!,$
%
4 4**"'-0"*"
0
9+ &&
>& & + + > " + +
2"
!!%
( < ( <"=>1? ( <"
!
9
000
000
':!
000
9
9
:!
000
9
000
;:!<
000
9
000
!
9
9
9
!!
9
9
9
;
!!<
9
9
9
!!H! 9
9
9
;
!!<H! 9
9
9
=
!(! "!;
!+!< >!,?@H$
9
9
9
'&3A 9
9
9
B%A 9
9
9
C
9
9
9
2A
9
9
000
3A 3D
9
9
9
3A+
9
000
000
3A
9
9
000
3A3DE 3D0 9
9
9
3A3D, , 3D, ,3F
9
9
9
3A3D,
, 3D,
,3F
9
9
9
3A3D,G
, 3D,G
,3F
9
9
9
3A,5,3D
9
9
9
3DA(.
9
9
9
+A3 9
000
000
A3 9
9
000
3H I:!
9
9
9
3H3DI:!
9
9
9
3DH(.I:!
9
9
9
3H; G G<I;:.G:+?<
9
9
9
3I;:.G:+,,,<
9
9
9
G,GHJ%I:!
9
000
000
'2HJ%I:!
000
9
9
+H;"K"K+"K("K.<I:!
9
000
000
+H; G G<I;:.G:+?<
9
000
000
/$-
"2/$!,$ • %
%
H;""+"(".<I:!
9
9
000
H; G G<I;:.G:+?<
9
9
000
%/9HI:!
9
9
9
B:#
9
9
000
B:
9
9
000
2&'82#
9
9
9
'
9
9
9
&#C
9
9
9
%% • /$-
"2/$!,$
%
:3&' * 4-<8;9 9+ &&
=& + $B 7
>&! + &&>!+;S<
>!+*D
)
?*!$AE
3@1
!"#
!" 2U
!
2
"!
&,, 9
"!
)<#
9 9 9A 9A 9A 9A 9AA
9": @
@
@
@
@
@
@
@
@
@
@
@
@
@
@
9":
9":"!!%
9":)<#!"7U8"!!%
/$-
"2/$!,$ • %)
%
>!!+*!D
)
?AE
334&@B21
!"#'
!" 2U
!
2
65"!
&,, /
"!
/ /A /
9": @
@
@
<5"!
<9ABCD
<9ABCD
9":
9":<"!!%
9":<!"<!%
) • /$-
"2/$!,$
%
>!'+*'D
)
?
2AE334<<*@B>
1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /
"!
"!
"!
"!A
"!
9
@
9
9
9
9
9
@
9
9
9A
9A
9A
@
9A
9
9
9
9
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
<5"!
<9ABC@D
<9ABC@D
9":
9":<"!!%
9<"!!"<"!!%
9<"!"<"!!%
9A<"!A:6!!"<"!!%
9<"!<6"<"!!%
/$-
"2/$!,$ • )
%
>!2+*2D%)
,?
2AE**4C334<
<*@B!1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /I/
"!
"!
"!
"!A
"!
9 9 9A 9 @
>9 9 9A 9 @
9 >9 9A 9 @
9 9 >9 9 @
9 9 9A >9 @
@
@
@
@
@
@
@
@
@
@
@@@@@
@@@@@
@@@@@
@@@@@
@@@@@
<5"!
<9ABC@@D
<9ABC@@D
9":
9":<"!!%
9<"!!"9
9<"!"9
9A<"!A:6!!"9A
9<"!<6"9
9<"!!%
) • /$-
"2/$!,$
%
>!"+*"D%)
,?
2AE-**4C334<
<*@B51
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /I/
"!
"!
"!
"!A
"!
9 9 9A 9 @
>9 9 9A 9 @
9 >9 9A 9 @
9 9 >9 9 @
9 9 9A >9 @
@
@
@
@
@
@
@
@
@
@
@@@@I
@@@@I
@@@@I
@@@@I
@@@@I
<5"!
<9ABC@@@D
<9ABC@@@D
9":
9":<"!!%
9<"!!"<"!!%
9<"!"<"!!%
9A<"!A:6!!"<"!!%
9<"!<6"<"!!%
9<"!!%
/$-
"2/$!,$ • )
%
>!5+*5D%)
,?
2AE*4C3@B!$
1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /I/
"!
9
9 9A
9 @
@
@
@@@@I
9":
9":"!!%
9!"6S:9"!!%
9"6S:9"!!%
9A:6!!"6AS:9A"!!%
9<6"6S:9"!!%
) • /$-
"2/$!,$
%
>!#+*#D%)
,?
2AE*4C3
@B!$1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /I/
"!
)<#
9
@
9 9A
@ @
9 @
@ @
@
@
@
@
@@@@I
@@@@I
9":
9":"!!%
9)<#!"6S:9"!!%
9)<#"6S:9"!!%
9A)<#:6!!"6AS:9A"!!%
9)<#<6"6S:9"!!%
/$-
"2/$!,$ • )
)
(+
'(!%)),(!%%))
=6 4-8;9 9+ 6 ! ! & /0)((H
/00((+
• +
?!@
• + "" ?!64
))8(@
• + "" = + ?!.@
• +&!=
+
?!86
)4@
9+&+"&>&!
/$-
"2/$!,$> • )
F11<:
?@A
9+&&
++>2"+&+
* :)/ + & + & ,
!"#
&)@7
,45,
&@
,45,
'''''''''''''''''''
!
2
&.@+
678
&6@&
67
8
678
59
"!
5,
5,
'''''''''''''''''''
&/@)()))).() & ..(.)...
& ?
@
9":
&8@+
9":
95,
95,
''''''''''''''''''
9%"*!-&
+ & ; $B< + & <!<&
9+&"&&">&
• /$-
"2/$!,$>
)
17
16
15
14
VDD
VO
1
P3.3
P3.2
P3.1
P3.0
2
3
4
5
OSC
TEST
VSS
13 P1.3
12 P1.2
11
P1.1
10
P1.0
P2.3
P2.2
P2.1
P2.0
6
7
8
9
/$-
"2/$!,$> • )
F!11<
*
?@B2:>:!:5:!$A
9+&&
++>2"+; !<?X64)
)8(@&+
""
*
:)/+&+
& ,
!"#;
,45,
,45,
'''''''''''''''''''
&)@7
& ?X64))8(@
&@
!
2
&.@+
678
67
8
678
&6@&
59
65"!
5,
5,
'''''''''''''''''''
<5"!
5,
5,
'''''''''''''''''''
9":
9":
95,
95,
''''''''''''''''''
&/@9
&8@"". & ?....)
.(
& .)(@
&0@+
9%"*!-&
+
&; $B<+&
+&&
• /$-
"2/$!,$>
)
E
F
FE
F
F
F
12"34
A$AGA$I$AG$
A$AGA$I$AG$
A$AGA$I$A4$
A$AGA$I$A
A$AGA$
9+&"+&&">&
17
16
15
14
13
12
11
10
VDD
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
6
7
8
9
/$-
"2/$!,$> • )
17
VDD
16
15
14
13
12
11
10
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
6
7
8
9
17
VDD
16
15
14
13
12
11
10
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
6
7
8
9
!
• /$-
"2/$!,$>
)
17
16
15
13
12
11
10
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
14
VDD
6
7
8
9
"
17
15
16
14
VDD
VO
1
P3.3
P3.2
P3.1
P3.0
2
3
4
5
OSC
TEST
VSS
13 P1.3
12 P1.2
11
P1.1
10
P1.0
P2.3
P2.2
P2.1
P2.0
6
7
8
9
!#
/$-
"2/$!,$> • )
F'11<
*1+
<?@B'!A
9+&&
++>2"+; !.<&
+ "" = + * :)/
+&+
& ,
!"#;A
&)@7
,45,
&@
,45,
'''''''''''''''''''
!
2
&.@+
678
67
8
678
&6@&
59
<5"!
5,
5,
'''''''''''''''''''
9":
9":
6
6
6A
6
95,
&/@"". & ?....).(@
&8@+
&0@9*)9*.! & ++
" & ?9
)9
.@ & & & >&++2"+ & ++9*)9*. & ="9*7! & & ++
& &&&
& >&++2"
+ & ++9*7="
&4@3+
% • /$-
"2/$!,$>
)
9%"*!-&
+
&
A
FA
12"34
A$AGA$
9+&"&&">&
17
16
15
14
VDD
VO
1
P3.3
P3.2
P3.1
P3.0
2
3
4
5
OSC
TEST
VSS
13 P1.3
12 P1.2
11
P1.1
10
P1.0
P2.3
P2.2
P2.1
P2.0
6
7
8
9
D1
10k
10k
D1
D1
10k
$!
/$-
"2/$!,$> • )
)
F211<41+<
?@B52:!>A
9+&&
++>2"+; !<?X86)4@
& + &! = + * :)/+&+
& ,
!"#;
&)@7
& ?X86)4@
,45,
,45,
'''''''''''''''''''
!
2
678
67
8
678
59
9":
9":
6
6
6
6
95,
&@
&.@+
&6@&
&/@+
&8@9*)9*! & ++
" & ?9
)9
@ & & & >&++2"+ & ++9*)9* & ="?;<>86 &
$B86)4 &
$B)4@9*7 & !++ & &&&
& >&++ & 2"+++9*7
& ="
&0@3+
9 %"*!-&
+
&; $B<+&
+&&
• /$-
"2/$!,$>
)
E
F
FE
12"34
!
!
9+&"+&&">&
17
VDD
16
15
14
13
12
11
10
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
6
7
8
9
10k
10k
D1
D2
10k
D3
"
/$-
"2/$!,$> • )
17
16
15
14
13
12
11
10
VDD
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
7
8
9
10k
10k
D1
10k
D2
D3
!
%&
10k
10k
L10
10k
L11
L14
10k
L15
L16
10k
L13
L10
L9
10k
L12
10k
10k
L9
L12
L11
L13
L14
L15
L16
!
%'&
• /$-
"2/$!,$>
)
F",*&@C@B2:>:!:5:
!$C@B'!@B52:!>
9+ &&
> + & + +
!&
9&-
+ && + , &! " &
2""1&
9.!-&
! /0((( + + "1
2"&9++"&>+&&
E
>("?
>A("?
A>("?
>E("? >
("? >
("?
>("? 95&
==
9+ 6> ?( ) @ ,&>& "1
& + ! > &" "> + ; 678< ( ">+
()+;<>)8
+(
&"
9+&")
59
! "& + , +& + + &!
&! 59 &!
&&
"&&
"+
&"
&!
9 !650--")7
3="
+
" ;< ? Y) Y @ $
= >! ;; && >! 6 " 4 +
9+>!
?@9+>+&&
)95, ++
"&++
+ + 2" + + ;Y<="
/$-
"2/$!,$> • )
>95, + + &&
"& + +
++2"++
;Y<="
.95,>+5, + + "& + +
++2"++
;Y)< ="S + + &&
"& + + +
+ 2" + + ;Y< ="
6
$
+
;-<
/
$
+
;#<
89?
"& >
;-< +
09'
"& >
;#< +
4?
,"&+
:;
,"&+
)(@
%+
NOTE
!"#$ %!"#$ !"%!"&#$ !' (# )!
* +,-.'' /, $ $ &$ !' 0 *)!12 , .2 !
.+1+,
& 3 12, 122 3 !2 /. /,"!$ 3 ,& .2 ))' 3+14'5,2.2,6,/,++'.78
32.9)1,,
/,&5.*2"!,
033!2/./,"!+5,2$./3%+..2.""'.!
'/.'!2 (#6':)!,8$3%+.5.2 ;#
<=1,.>7)1.>3!2/./,"!$./3%+..2'/.'!2
(#6':)!,8$3%+.5.*1)3!>'
9+"11"--")78 6466$6
9
+ 3 "
="+
9Y)9Y 9
" > && >! & ;%< + ;%< + "> ) 6 + !6
)4+ !4T)(+ !(
&>!?@
9+
&>+&&
E
)95, ++
++
2"++;Y<="
• /$-
"2/$!,$>
)
>95, + + & +
+ 2" + + ;Y< ="
.95,>95, + + + + 2" + + ;Y)< ="S + + & + + 2" + +
;Y<="
6@
>&+
?@
9$5--")78 6466$6
)78
""+"".3
!."" ++"&+,
"""+Y)Y
9+ "" && >! + "" .
&&
9ABCAD=9BCTATTTDE/!"#'>>>
9ABCAD
E/!"#'>A
+.) (....).(
,&!
1. 1 1) 1( . ) (,&! ++.(1.1(&!>
>+&&
: +
>;-<
)( +
>;#<
))9?"&>
;-<+ )9;"&>
;#<+ ).?,"&+
)6;
,"&+
)/ +
Y+ /0)((H /00((&&. >Y" !6&!..
)" !4&!. ." !)&! .." !)8&!. " !(.
/$-
"2/$!,$> • )
)8@ +
"">"+
NOTE
33!24/,"!+5,2$./31+1+..2.""'.!
'/.'!2 (#6':)!,8$31+1+.5.2 ;#
3 $B+
">&+!
" !> = - + &&
" > ;=<
?1@E
5FE
5F
5F
$>7/?
$>7/?4$>7/?
$>7/?4$>7/?4$>7/?
90-
3!+2"
++
+Y)Y ++2"
&+"&+//+?="@&
"
+ + 2" & & ! > >" + & & " >
+ ?Z@ !>& " ," & + + 2"
7 $B. $B86)4 9*) 9* 9* 9*7 !
+ ;< . $B. 86 $B86 )4 $B)4
NOTE
3+!3?1!..27)1'53,.>>,.+12
,.>>,
,.>>,!,
+,22'!'3,.2.>'>,.>>,.2!*'
3+!3?1!.5.3+!3
!" #.27)1'53,.>>,.2,!2'!'3/!.>'>,.>>,
.2 !*' 3 3, +!3 !"2$ !"$ !"&$@ )$ !, 12,
'/.'+!3!"/,2+).!!++.)!.2
++2"!+&&
"!2"
•
,,
•
/Y,, E/!"#'>>>>
"+ ;9Y)< + $B6H(
•
15,, E/!"#'>>>>=!"#'A
"+ ;Y)< + ""
. $B6H)8.
$B(.
•
15,,>
C15,,D E/!"#'>>>>=!"#'A
• /$-
"2/$!,$>
E/!"#
"+ ;Y)< + $B
)
"+ ;Y)< + ""
. $B6H)8.
$B(.
NOTE
3 ! 2). .2 +!.>$ . ,', )3!> 3 1! !'
'.,,1+3+!.>*+,22.>3,.>>,2,"1212
3.2+!,!",!'))3 RETURN#+!,!",,1,3
2).:2+!.>
•
•
9F,
"+;Y)<="++ 2"+++;Y)<
,4,7+,/,8&!+,&!" &;&<+ &!
+,&"&> +6/T6D/DTD 6/T-G&!
,&!"&>& >6(((.((( &!
?-G@
•
,4,7+,/,8>
C,4,7+,/,8D &! + , &!
" & +" &&
+ &
• ,4,7+,/,8G &! + ,&! "&+>! /$-
"2/$!,$> • )
•
,4,7+,/,8G>
C,4,7+,/,8DG &! + , &!
"&+>!
+"&&
+&
H,4,7+,/,8G=C,4,7+,
/,8DGIJGK &!
+ &&&
&!
&>&&
+++&
>
K + "> &>& &
)
+ "> && &
)
+ "> && &
•
NOTE
&
•
).!")!*,+!)'*A1"*,!)),'.>3
2?1) / ). !" . 3 2). '/... 3
".."1"1"*,.2 #
3!+!,!",2.3+!3'/...)!*+!)'.3
BC#
!9B + &! ?>"@
"?@&!
;<"&>+&&
'
%
&"
&%
)
&
+ ,
")
0H1
""$
" "
"% "+ ,
)%
$%+
,"&
)
+
&
$
)
"+
"&
"$
"
")
+
&
$
0H1
•
$B
+,&!"&!
,&"4;<! ,&"(0+(+& 0++
+
•
%,L78
&!;<9+"&!" (((47=&&!?(@ (&!&!?48@ 48&!
•
6,B
E/!"#=!"#'>>>>=!"#'A
+&+&+""+
;J<;<
% • /$-
"2/$!,$>
)
NOTE
#)!*1"*,D/3.>3/!23,!-!,.!*2E%n;F
3+!,!",/,G4E<%&D/,"!)!*12'
•
B>M +
;< L
NOTE
=!!)!*$$&$0$<$$,A*$A*$@A*
NOTE
,3/5.>+!,!>,!+32$./=HG68.212'$.$I!'
9 )! * '/.' !2 / 3 /5.> !)),'.> G#
!' G4#/,"!
5
G
4
G
G
5F
E
G
G
5F
G
A
G
@
5F
G
G
5F
A
G
G
E
5F
E
G
G
NOTE
,./=HG68.212'$.$I!'9)!*'/.'!2/3
/5.>!)),'.> G#!' G4#/,"!
5
G
4
G
G
E
5F
E
G
G
E
5F
G
G
5F
G
G
E
5F
A
G
@
G
5F
E
G
A
G
@
•
B9
E/!"#
+ ;< +
+;)<
•
B9
E/!"#=!"#'>>>
+ ;< +
+;<
NOTE
)),'.> './/, G4# /,"!$ 3 /5.> *.2 /
,>.2, .#5.* #
$B6
$B4
?(@
$B)
?(@?)@
$B)8
?(@?)@
?@
/$-
"2/$!,$> • )
)
•
•
•
B9A
E/!"#=!"#'>>>>=!"#'A
+ ;< +
+ ;.<
BM? +
;<+ ;L<&"
BM'
+
;<+ ;L<">
•
BMN>
BM + ;< +
;L<"%
•
BMNO>
BMO + ;< +
;L< "% + ;<
•
BMP>
BM/ + ;< +
;L<6
•
BMPO>
BM/O + ;< +
;L<6+
;<
•
BMQ>
BM*/ + ;< +
;L<@6
•
BMQO>
BM*/O + ;< +
;L< @6 + ;<
•
B3M>
BRM
•
7M8B>
• /$-
"2/$!,$>
+ ;< +
!6
;L<
+;L+<>
;<) (+L>(.
)
•
9B>
E/!"#=!"#'>>>
+ "" +
;<
NOTE
0, !2#/,"!$12,"12!9)!,/,32!12/,.>>,
+.26.+,&,+,08,.>>,2!!9//)
<)),'.>'.//, G4#/,"!$32"+.2/+,&
!,12'*2)!.>+.2$3/5.>*.2/,>.2, .#
!''!!5.*.>,'
•
$B6
$B4
(
$B)
(H)
$B)8
(H
9AB> E/!"#=!"#'>>>>=!"#'A
+ "" . + ;<
• S+5, +
;<2"&
++2"+++
;Y<&&>="
• SM+5, +
;<2"& +
;L<++2"+ ++;Y<&&>="
• 7M8S>+5, +;L+<>
;<2"&
)(++2"+++ ;Y<&&>="
•
SC**DC+5*+5ID ?.@?)@2"& ;((<++2"++ ;Y(<&&>="?.@?)@ 2"&;()<+
+ +;M<?1@+2"&& ="=?.@?)@ 2"&;)(<++2"++ ;Y<&&>=" +9+="">+ +>+> ;VW<)8
NOTE
#(#"!2 ':)!,#
&,#.JK'7'7L#$3+!,!",2. KL#)!* '#, 7#$3
').2./I1"+,.2'+''3),,2+'.>*./
,>.2, .#3!.2'/.'!2 '#
/$-
"2/$!,$> • )
• C+5*+5D
+
;<2"& ;(<++2"++ ;Y(<&&>="+
;<2"&;)<+
+ +;M<?1@+2"&& ="=+
;<2"&;<++2"+ +;Y<&&>=" +9+="">+ +>+ >;VW<)8
• 9**S:>$+5, E/!"#
+ == ;-< <#< + +
2" + + ;Y< && >
=" + ;==< ! )(
))T.." $B •
6S:>$+5, E/!"#'>>>>
+ 9* ;-< <#< + +
2" + + ;Y< && >
=" + ;9*< ! ,&" 9*) 9*6 " !6 9*) 9*4 " !4 T 9*) 9*(
" $B(
•
6S:+5, E/!"#'A=!"#'>
! ;-< + + 2" +
+;Y<&&>="
•
6S$+5, E/!"#'A=!"#'>
&&
;#<++2"+
+;Y<&&>="
•
9SCAD+5, E/!"#
+ ) ?). ) ))
)(@ 2"& V. ) (W + +
2" + + ;Y< && >
=" + . ( >;)< <(<
<M<
•
9SC**DC+5*+5ID E/!"#
). )) 2"& ;((< + +
2" + + ;Y(< && >
=" ). )) 2"& ;()< +
+ + ;M< ?1
@ + 2" && =" =
). )) 2"& ;)(< +
+ 2" + + ;Y< && >
• /$-
"2/$!,$>
)
="+9+="
"> + + >
+>;VW<)8
NOTE
,#JK'7'7L#$3+!,!",2. KL#)!* '#, 7#$3
').2./I1"+,.2'+''3),,2+'.>+./
+,3!.2'/.'!2 '#
•
9SCTATTTD+5, E/!"#=!"#'>>>
+ ?. )
(@ 2"& V1. 1 1) 1(W + +
2" + + ;Y< && >
=" + 1. 1( >;)< <(<
<M<
NOTE
(# "!2 ': )!,# 3 /5.> +!,!",2 "12 * (#
!)),'.>'.//, G4#/,"!
•
5F
5FE
5F
5F
F
9/
9/49/
9/49/49/
9SC**DC+5*+5ID E/!"#=!"#'>>>
. ) 2"& ;((< + +
2" + + ;Y(< && >
=" . ) 2"& ;()< +
+ + ;M< ?1
@ + 2" && =" =
. ) 2"& ;)(< +
+ 2" + + ;Y< && >
="+9+="
"> + + >
+>;VW<)8
NOTE
,#&JK'7'7L#$3+!,!",2. KL#)!* '#, 7#$3
').2./I1"+,.2'+''3),,2+'.>+./
+,&3!.2'/.'!2 '#
& (# "!2 ': )!,# 3 /5.> +!,!",2 "12 * (#
!)),'.>'.//, G4#/,"!
•
5F
5FE
5F
5F
F
$
$4$
$4$4$
9ASCAD+5, E/!"#=!"#'>>>>>A
+ . ?.. . .)
.(@ 2"& V. ) (W + +
2" + + ;Y< && >
/$-
"2/$!,$> • )
=" + . ( >;)< <(<
<M<
•
9ASC**DC+5*+5ID E/ !"#= !"#'>>>
>>A
.. .) 2"& ;((< + +
2" + + ;Y(< && >
=" .. .) 2"& ;()< +
+ + ;M< ?1
@ + 2" && =" =
.. .) 2"& ;)(< +
+ 2" + + ;Y< && >
="+9+="
"> + + >
+>;VW<)8
NOTE
,#0JK'7'7L#$3+!,!",2. KL#)!* '#, 7#$3
').2./I1"+,.2'+''3),,2+'.>+./
+,03!.2'/.'!2 '#
•
9$"#S+5, +,&!" &!
++2"+++ ;Y<&&>="
•
5
E/!"#=!"#'>>>>>A
..# ""+&&&+
, ,&" + "..&&>&!# ""
""""+
" .. && > &"
NOTE
/00.22*!.+1+.$3.+1/1).5.*'.2!*'
!/,FV_ON1',G/,"!
3.2+!,!",)!*12'
1',G4E<%&D/,"!
•
5
E/!"#=!"#'>>>>>A
"# ""&+"
NOTE
.2 ,)""'' 3! +,>,!"", 1, // H= 1+1 /!23
/1). -, ." 3 '/.2 ! )3.+ +5, '5 /1,3,
"..".F +5, )21", '1,.> +5, '5 3.2 +!,!",
)!*12'1',G4E<%&D/,"!
•
6!<6
• /$-
"2/$!,$>
"+&+" ""+
)
•
9
+"&!&!
, &!"
•
!%
+++
NOTE
!3 ?1!. ;# "12 * '/.' /, +5, 7)1.
&53/,,,&+.2>2!,.2.>%/!.>'>
+12 3! 3 2,.2 )3.+2 5. 5!9 1+
/,"+5,'51',G/,"!
0 53 / 3 ,.>>, .+12 >2 ! ,.2.>% /!.> '>
+12 3! 3 2,.2 )3.+2 5. 5!9 1+
/,"+5,'51',G4/,"!
• %!BC6D
• %")$!
E/!"#'A=!"#'>
+ &&
" >&>&&&
V*7WXV((W +
&&
>&
V*7WXV()W *
>&
7&&
>&
V*7WXV)(W *
>&
7&&
>&
V*7WXV))W +
&&
>&
E/!"#'A=!"#'>
& + 2" " "
+&+""
"
+ " " +
" " L" " + +
;$# < & +
;UE$# < > +
+L">"
/$-
"2/$!,$> • )
94**"'-0"*"
0
9+ &&
>& & + + > " + +
2"
!!%
( < ( <" ( <" ( <" ( <"
=>1? /
?=@
1A
!
9
000
000
':!
000
9
9
:!
000
9
9
9
;:!<
000
9
9
9
!
9
9
9
9
!!
9
9
9
9
;
!!<
9
9
9
9
!! H!
9
9
9
9
;
!!< H!
9
9
9
9
=
!(!H!;
!+!< >
J @ >
9
9
9
9
9
9
9
9
9
9
'&3A 9
9
9
9
9
B%A 9
9
9
9
9
C
9
9
9
9
9
2A
9
9
9
9
3A 3D
9
9
9
9
3A(
9
000
000
9
3A+
9
9
000
3A
9
9
9
9
3A3DE 3D0 9
9
9
9
3A3D, , 3D, ,3F
9
9
9
9
3A3D,
, 3D,
,3F
9
9
9
9
3A3D,G
, 3D,G
,3F
9
9
9
9
3A,5,3D
9
9
9
9
3DA(.
9
9
9
9
+A3 9
9
000
A3 9
9
9
9
3H I:!
9
9
9
9
3H3DI:!
9
9
9
9
3DH(.I:!
9
9
9
9
3H; G G<I;:.G:+?<
9
9
9
9
3I;:.G:+,,,<
9
9
9
9
• /$-
"2/$!,$>
9
9
9
9
9
9
9
9
9
9
9
)
G,GHJ%I:!
9
000
000
'2HJ%I:!
000
9
9
'2HJ%I:!
000
000
000
(H;""+"(".<I:!
9
000
000
9
000
9
(H; G G<I;:.G:+?<
9
000
000
000
+H;"K"K+"K("K.<I:!
9
9
000
+H; G G<I;:.G:+?<
9
9
000
H;""+"(".<I:!
9
9
9
9
H; G G<I;:.G:+?<
9
9
9
9
%/9HI:!
9
9
9
9
B:#
9
9
9
9
B:
9
9
9
9
2&'82#
9
9
9
9
'
9
9
9
9
&#C
9
9
9
9
3C&A;2<
000
000
000
9
C"/L%&
000
000
000
9
9
9
9
9
9
9
/$-
"2/$!,$> • )
=3&' * 4-8;9 9+ &&
=& + ! >&!+
&&
>!+;S<
F!+*D
)
?*$AE
3@1
!"#
!" 2U
!
2
"!
&,, 9
"!
)<#
9 9 9A 9 9 9I9AA
9": @
@
@
@
@
@
@
@
@
@
@
@I@
@I@
9":
9":"!!%
9":)<#!"7U8"!!%
% • /$-
"2/$!,$>
)
F!!+*!D
)
?AE
334&@B21
!"#'
!" 2U
!
2
65"!
&,, /
"!
/ /A /
9": @
@
@
<5"!
<9ABCD9BCD
<9ABCD9BCD
9":
9":<"!!%
9":<!"<!%
/$-
"2/$!,$> • )
)
F!'+*'D
)
?2AE
334<<*@B>
1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /
"!
"!
"!
"!A
"!
9
@
9
9
9
9
9
@
9
9
9A
9A
9A
@
9A
9
9
9
9
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
@
<5"!
<9ABCD9BC@D
<9ABCD9BC@D
9":
9":<"!!%
9<"!!"<"!!%
9<"!"<"!!%
9A<"!A:6!!"<"!!%
9<"!<6"<"!!%
• /$-
"2/$!,$>
)
F!2+*2D%)
,?
2AE**4C334<
<*@B!1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /I/
"!
"!
"!
"!A
"!
9 9 9A 9 @
>9 9 9A 9 @
9 >9 9A 9 @
9 9 >9 9 @
9 9 9A >9 @
@
@
@
@
@
@
@
@
@
@
@@@@@
@@@@@
@@@@@
@@@@@
@@@@@
<5"!
<9ABCD9BC@@D
<9ABCD9BC@@D
9":
9":<"!!%
9<"!!"9
9<"!"9
9A<"!A:6!!"9A
9<"!<6"9
9<"!!%
/$-
"2/$!,$> • )
F!"+*"D%)
,?
2AE-**4C334<
<*@B!$1
!"#'
!" "
:6!!"
<6"
2U
!
2
65"!
&,, / / /A / /
/ /2 /I/
"!
"!
"!
"!A
"!
9 9 9A 9 @
>9 9 9A 9 @
9 >9 9A 9 @
9 9 >9 9 @
9 9 9A >9 @
@
@
@
@
@
@
@
@
@
@
@@@@I
@@@@I
@@@@I
@@@@I
@@@@I
<5"!
<9ABCD
<9ABCD
9":
9":<"!!%
9<"!!"<"!!%
9<"!"<"!!%
9A<"!A:6!!"<"!!%
9<"!<6"<"!!%
9<"!!%
• /$-
"2/$!,$>
)
F!5+*5D%)
,?
2AE*4C3@B'!
1
!"#'A
!" "
:6!!"
<6"
2U
!
2
<5"!
<9ABCD
<9ABCD
9":
9":<%!BCD!%
6<!"6S:6<!%
6<"6S:6<!%
6A<:6!!"6S:6A<!%
6<<6"6S:6<!%
6
IIIIIIIII
IIIIIIIIIIII
6AIIIIIIIII
6%")$!
/$-
"2/$!,$> • )
F!#+*#D%)
,?
2AE*4C3@B52
1
!"#'
!" "
:6!!"
<6"
2U
!
2
9":
&U,L+/,,1
9":%!BCD!%
6B%!BCD!"S9":6S:6 N
9":
6B%!BCD"S9":6S:6 N
9":
6AB%!BCD:6!!"S9":6S:6A N
9":
6B%!BCD<6"S9":6S:6 N
9":
6
IIIIIIII
IIIIIIIIII
6IIIIIII
6B%")$!
• /$-
"2/$!,$>
)
=6*"4
&&'$ 4
-
F',*&"#$$$
9+!&&!, /0)((H /00((
/0)((H /00(("+*+.?=
/06((@ && .4 -G 2" , + ). && >
*, !
9+ &&
+ + * + + 2" !
&
•
6*B>
E/ !"#= !"#'>>>> 7 E/
!
28
+ * + ;<
•
B6/*
E/!"#=!"#'>>>>
+
;<+,
*
1.If EM57100~ EM57700 uses the IR receiver in EASY-n format, the
pin P1.3 is treated as the receiver pin. Some trigger paths
connecting the pin P1.3 must have the same path names in trigger
state definition. The following shows these trigger paths in EASY-n
format.
EASY-4
EASY-8
tr4
tr4, tr8
EASY-1
2
tr4, tr8,
tr12
EASY-1
6
tr4, tr8,
tr12,
tr16
EASY-2
0
tr4, tr8,
tr12,
tr16,
tr20
2.If EM57100~ EM57700 uses the IR receiver, the IR receiver path
equation will be executed while the IR receiver pin receives the
falling pulse in the sleep mode. Refer to section 9.3.2.
3. It is recommended that “Mi=IRrx” is the first parameter of the IR
receiver path equation. Refer to section 9.3.2.
9+
,
&"*&&"
>&9+*&" $B64))8&+
$B( + > " &
+5+*,"&,+&
"
/$-
"2/$!,$> • )
VDD
OSC
15
14
13
12
11
10
VO
VSS
P3.3
P3.2
P3.1
P3.0
P2.0
P2.1
P2.2
P2.3
P1.0
P1.1
P1.2
P1.3
TEST
PNP
5
6
7
8
OUT
()
*
17
16
15
14
13
12
11
10
VDD
VO
1
VSS
P3.3
P3.2
P3.1
P3.0
2
3
4
5
P1.3
P1.2
P1.1
P1.0
P2.3
P2.2
P2.1
P2.0
OSC
TEST
PNP
6
7
8
9
OUT
!
&
&&-0
,(
• /$-
"2/$!,$>
)
F'!+*&**41
?@A
9+ &&
=& + 6 & !
+
&&>!
+;S<
9#*(!:0"1"*)7%"*
!"#
"
U
!
2
"!
&,,9999A9999A9A9A9A9AA
"! @ @ @ >6* @ @ @
@ @ @ @ *
9":
9":"!!%
*B6*B9":
6*AB6/*AS99":
9"9":
/$-
"2/$!,$> • )
9#*(!:0"1"*)78%"*
!"#'
" U
" U
" U
1VL
!
2
65"!
&,,///A//
//2//////A//
//2//
/
"! ///A>/*/
//2>/*///>/*/A//
>/*/2//>/*
<5"!
9":
9":"!!%
/B6*B9":
/6*B9":
/A1VLBA6*B9":
/*AB6/*AS+AS+ASA+9":
+R9":
+R9":
+R9":
% • /$-
"2/$!,$>
1"
:1
&-&
./012
2'.
/0((( , &=>& " $ ?&@
+""&+)([$.5 " &! + >! " " && > &
+
+ 9+ &&
&& > +
+ + + 2" G
+
7
+ &&+
+==?$=@
>, 6.$&'&
+&++&G2"+
86 "
+ &G + +
+
"+ "
?&@)?&!
/0(()@
>!2"&
&&
+&&
" =" + 9+ " >" ) & + &&
+ + + " + + ="
#4'?"/$$"!
$"$,/$ • )
1"
:1
!.7@ 0<"#$$("##$$
\D B\"++,&>&&! /0)((H /00((S
" " " " 9+ + /0)((H /00((?&@
&&
+ "&
!!
9+"&&) 9+,&")
)((,&! 9+""&&">&
1 +\-\""77 " + ) "&& + =& " ""
+"&>&&+(++
+
&
1%
!
!
• #4'?"/$$"!
$"$,/$
1"
:1
!''
9+.""+>&"""+
&&>!"
)3
/ B
(*
)3
/B (*
/ 9+"&&&
/ /
/0C
)
(
7
)
)
7
(
(
(
(
)
)
!2!
9+""+>).""
"
% " 9+ "" " >!
%)) 3+>+,&) +
\D B\ =" ) " +& %) % +
"" " $ + >+, & +
+.
#4'?"/$$"!
$"$,/$ • 1"
:1
+ +
+ 2" >! + & " )
+"& > & + % & + +
+
"2"%+"&>& $&>
)"&&%)%)M
!"''1<B<B%1
..& >"&+\7&++5&"\"
9+&&
""+&"E
M3
M3
(B51N
B(N
M3
(B5N
; %!="< @
• #4'?"/$$"!
$"$,/$
1"
:1
!51%G
C1%G
11C
*4
1
+ ;75Y%< " " + ;7&++5&"<
" >& *> " + " ?"
\7577\@>
=&)
(D1
E
3F&
51
5
3F
&
=&""
?+;7<"@ 21E/GH
I
C
J
+ .) \7\?&
@ + + =&
"",\(\\)\+"&&&+
?&@
!#
*34
$ \7&++5&"\ " + &&
"
">=">+\ %\"E
K3
K3
K&K
(B B
5K
(K .\)\+=&&"+"&
>&
=&
K3
K3
(B / B
51,K
(K 9+ >, " && . + &
3++=&"!+"&,&
\(\
\)\ > ?>&! \(\ &,&@ + =&
& " , \)\ \(\ &,& +
+
+>!"&&"
#4'?"/$$"!
$"$,/$ • 1"
:1
!>+&
"+>"&"&&)+=&&
"+"&>&
\(\>
• #4'?"/$$"!
$"$,/$