Download SERVICE MANUAL FOR SERVICE MANUAL FOR

Transcript
SERVICE MANUAL FOR
8640
8640
BY: Dragon Jiang
TESTING
TESTING TECHNOLOGY
TECHNOLOGY DEPARTMENT
DEPARTMENT // TSSC
TSSC
Nov .2002
8640 N/B Maintenance
Contents
1. Hardware Engineering Specification -----------------------------------------------------------------------------1.1 Introduction -----------------------------------------------------------------------------------------------------------1.2 System Overview -----------------------------------------------------------------------------------------------------1.3 System Hardware ----------------------------------------------------------------------------------------------------1.4 Electrical Characteristic ------------------------------------------------------------------------------------------------
3
2. System View & Disassembly --------------------------------------------------------------------------------------2.1 System View --------------------------------------------------------------------------------------------------------2.2 System Disassembly --------------------------------------------------------------------------------------------------
42
3. Definition & Location of Connectors / Switches Setting -------------------------------------------------------
66
4. Definition & Location of Major Component ---------------------------------------------------------------------
69
5. Pin Description of Major Component ---------------------------------------------------------------------------5.1 Pentium4 (Willamette/Northwood) processor -----------------------------------------------------------------------5.2 Sis650 North Bridge -------------------------------------------------------------------------------------------------5.3 Sis962 South Bridge --------------------------------------------------------------------------------------------------
72
6. System Block Diagram -----------------------------------------------------------------------------------------------
89
7. Maintenance Diagnostics -------------------------------------------------------------------------------------------7.1 Introduction ---------------------------------------------------------------------------------------------------------7.2 Debug Card ----------------------------------------------------------------------------------------------------------7.3 Error code ------------------------------------------------------------------------------------------------------------
90
3
4
8
32
42
45
72
78
83
90
91
92
1
8640 N/B Maintenance
Contents
8. Trouble Shooting -----------------------------------------------------------------------------------------------------8.1 No Power ------------------------------------------------------------------------------------------------------------8.2 Battery Can not Be Charged ----------------------------------------------------------------------------------------8.3 No Display ----------------------------------------------------------------------------------------------------------8.4 LCD No Display or Picture Abnormal -------------------------------------------------------------------------------8.5 TV No Display or Color Abnormal ----------------------------------------------------------------------------------8.6 Monitor No display --------------------------------------------------------------------------------------------------8.7 Key Board&Touch Pad Test error ----------------------------------------------------------------------------------8.8 Hard Drive Test Error-------------------------------------------------------------------------------------------------8.9 CD-ROM driver Test Error ------------------------------------------------------------------------------------------8.10 USB Port Test Error-----------------------------------------------------------------------------------------------8.11 PIO Port Test Error -------------------------------------------------------------------------------------------------8.12 PC-Card Socket Failure -------------------------------------------------------------------------------------------8.13 Mini-PCI Sock et Failure ------------------------------------------------------------------------------------------8.14 IEEE1394 Test Failure --------------------------------------------------------------------------------------------8.15 Audio Test Failure ------------------------------------------------------------------------------------------------8.16 LAN Test Error --------------------------------------------------------------------------------------------------8.17 Smart Media&Secure Digital Test Error ---------------------------------------------------------------------
94
9. Spare Parts List --------------------------------------------------------------------------------------------------------
138
10. Exploded ---------------------------------------------------------------------------------------------------------------
170
11. Circuit Diagram-------------------------------------------------------------------------------------------------------
177
12. Reference Material ---------------------------------------------------------------------------------------------------
214
95
98
100
105
109
111
114
116
118
120
123
125
127
129
131
134
136
2
8640 N/B Maintenance
1. Engineer hardware Specification
1.1 Introduce
The 8640 motherboard would support the Intel D/T or Mobile Pentium 4 (Willamette) / Northwood & Celeron in
mFC-PGA2 packaged, which will supports the different speed up to 1.9G/ 2G/2.2G/2.3G./3.2G above.
This system is based on PCI architecture, which have standard hardware peripheral interface. The power
management complies with Advanced Configuration and Power Interface (ACPI) 1.0b. It also provides easy
configuration through CMOS setup, which is built in system BIOS software and can be pop-up by pressing F2 at
system start up or warm reset. System also provides icon LEDs to display system status, such as Power indicator,
Battery, HDD, CD-ROM,USBFDD,NUM LOCK, CAP LOCK, SCROLL LOCK status. It also equipped 4 USB
ports.
The memory subsystem supports 1024MB DDR SDRAM ,and two JEDEC-standard 200-pin, small-outline, dual
in-line memory module (SODIMM) , support PC2100.
SiS651 IGUI Host Memory Controller integrates a high performance host interface for Intel Pentium 4 processor,
a high performance 2D/3D Graphic Engine, a high performance memory controller, an AGP 4X interface, and
SiS MuTIOL® Technology connecting w/ SiS962 MuTIOL® Media IO.
The SiS962 MuTIOL® Media I/O integrates the Audio Controller with AC 97 Interface, the Ethernet MAC, the
Dual Universal Serial Bus Host Controllers, the IDE Master/Slave controllers, and the MuTIOL® Connect to PCI
bridge. The PCI to LPC bridge, I/O Advanced Programmable Interrupt Controller, legacy system I/O, I/O
Advanced Programmable Interrupt Controller and legacy power management functionalities are also integrated.
The SiS962 also incorporates a universal interface supporting the asynchronous inputs/outputs of the X86
compatible microprocessors like P4.
3
8640 N/B Maintenance
1.2 System Overview
TABLE 1 . 8640-Silver(8640I) Hardware Specification
CPU
Video
Memory
PCMCIA
IDE
LCD Display
Button
LAN
Modem
Pointing
Keyboard
Mini-PCI
BIOS
Audio
I/O Port
Suspend Mode
Indicator
-mPGA 478-pin socket.Support Intel D/T Pentium 4 (Willamette) / Northwood & Celeron in mFC-PGA2 package
-Support FSB 400/533MHz
-Dual independent displays (LCD/CRT,LCD/TV,CRT/TV)
-Support Motion Compensation and iDCT
-Support Simultaneous display
-Share System Memory,Up to 64MB.
Two 200Pin 200MHz/266MHz/333MHz DDR SO-DIMM,Without any On-Board Memory.
-Support one slot of Type II or Type I
-Non support Zoom Video/Audio Function
Support 2 IDE channel,Up to Ultra DMA 100
-Support dual 85MHz LVDS interface,
-Support up to QXGA (2048*1536) Resolution
4 Easy Start Buttons (functions defined by user),1 MPM Button,1 Power Button
Support to 10/100 Based T
56Kbps V.90 MDC Modem
Glide PAD with 2 buttons.
Internal Key Matrix Keyboard
One Type III A
256KB Flash EEPROM (Include System BIOS & VGA BIOS)
- AC’97 Interface Codec.Sound Blaster Pro Compatible.
- Built-In 2 2W/4ohm speakers and 1 Mono-Microphone.
-Bi-Directional Parallel Port (EPP/ECP)
-External VGA Port (D-SUB 15Pins)
-4 USB 2.0 Port
-SPIDF(w/z Line-Out) Jack
-RJ-11 (4 Pin) port for modem
-Microphone In Jack
-RJ-45 Port for LAN
-VR for Audio Volume Control
-DC Input Jack
-Mini IEEE1394 Port
-(7 Pins) S-Video Output Port (NTSC/PAL)
-Battery Connector
-PS/2 Port
-FIR
POS (S1) , Suspend to RAM (S3) , Suspend to Disk (S4),NO SUPPORT WAKE UP ON TIME
Wireless LAN Indicator,HDD,FDD,CD-ROM,Num Lock,Caps Lock,Scroll Lock LEDs.MPM Indicator.
4
8640 N/B Maintenance
TABLE 2 . 8640-Gold(8640D) HARDWARE SPECIFICATION
CPU
Video
Memory
PCMCIA
IDE
LCD Display
Button
LAN
Modem
Pointing
Keyboard
Mini-PCI
Card Reader
BIOS
Audio
I/O Port
Suspend Mode
Indicator
-mPGA 478-pin socket.Support Intel D/T Pentium 4 (Willamette) / Northwood & Celeron in mFC-PGA2
package
-Support FSB 400/533MHz
-Dual independent displays (LCD/CRT,LCD/TV,CRT/TV)
-Support Motion Compensation and iDCT
-Support Simultaneous display.
-Embedded 32MB DDR VRAM
Two 200Pin 200MHz/266MHz/333MHz DDR SO-DIMM,Without any On-Board Memory.
-Support one slot of Type II or Type I
-Non support Zoom Video/Audio Function
Support 2 IDE channel,Up to Ultra DMA 100
-Support dual 85MHz LVDS interface,
-Support up to QXGA (2048*1536) Resolution
4 Easy Start Buttons (functions defined by user),1 MPM Button,1 Power Button
Support to 10/100 Based T
56Kbps V.90 MDC Modem
Glide PAD with 2 buttons.
Internal Key Matrix Keyboard
One Type III A,Support 8cm Mini-Pci Card (Standard is 5cm)
Support 4 in 2 Card Reader (MMC/SD/SM/MS)
256KB Flash EEPROM (Include System BIOS & VGA BIOS)
- AC’97 Interface Codec.Sound Blaster Pro Compatible.
- Built-In 2 2W/4ohm speakers and 1 Mono-Microphone.
-Bi-Directional Parallel Port (EPP/ECP)
-External VGA Port (D-SUB 15Pins)
-4 USB 2.0 Port
-SPIDF(w/z Line-Out) Jack
-RJ-11 (4 Pin) port for modem
-Microphone In Jack
-RJ-45 Port for LAN
-VR for Audio Volume Control
-DC Input Jack
-Mini IEEE1394 Port
-(7 Pins) S-Video Output Port (NTSC/PAL)
-Battery Connector
-PS/2 Port
-FIR
POS (S1) , Suspend to RAM (S3) , Suspend to Disk (S4),NO SUPPORT WAKE UP ON TIME
Wireless LAN Indicator,HDD,FDD,CD-ROM,Num Lock,Caps Lock,Scroll Lock LEDs.MPM Indicator.
5
8640 N/B Maintenance
TABLE 3 . 8640-Platinum(8640M) HARDWARE SPECIFICATION
CPU
Video
Memory
PCMCIA
IDE
LCD Display
Button
LAN
Modem
Pointing
Keyboard
Mini-PCI
Card Reader
BIOS
Audio
I/O Port
Suspend Mode
Indicator
-mPGA 478-pin socket.Support Intel Mobile Pentium 4 (Northwood) & Mobile Celeron in mFC-PGA
package
-Support FSB 400/533MHz
-Dual independent displays (LCD/CRT,LCD/TV,CRT/TV)
-Support Motion Compensation and iDCT
-Support Simultaneous display.
-Embedded 32MB DDR VRAM
Two 200Pin 200MHz/266MHz/333MHz DDR SO-DIMM,Without any On-Board Memory.
-Support one slot of Type II or Type I
-Non support Zoom Video/Audio Function
Support 2 IDE channel,Up to Ultra DMA 100
-Support dual 85MHz LVDS interface,
-Support up to QXGA (2048*1536) Resolution
4 Easy Start Buttons (functions defined by user),1 MPM Button,1 Power Button
Support to 10/100 Based T
56Kbps V.90 MDC Modem
Glide PAD with 2 buttons.
Internal Key Matrix Keyboard
One Type III A,Support 60mm*80mm Mini-Pci Card (Standard is 60mm*50mm)
Support 4 in 2 Card Reader (MMC/SD/SM/MS)
256KB Flash EEPROM (Include System BIOS & VGA BIOS)
- AC’97 Interface Codec.Sound Blaster Pro Compatible.
- Built-In 2 2W/4ohm speakers and 1 Mono-Microphone.
-Bi-Directional Parallel Port (EPP/ECP)
-External VGA Port (D-SUB 15Pins)
-4 USB 2.0 Port
-SPIDF(w/z Line-Out) Jack
-RJ-11 (4 Pin) port for modem
-Microphone In Jack
-RJ-45 Port for LAN
-VR for Audio Volume Control
-DC Input Jack
-Mini IEEE1394 Port
-(7 Pins) S-Video Output Port (NTSC/PAL)
-Battery Connector
-PS/2 Port
-FIR
POS (S1) , Suspend to RAM (S3) , Suspend to Disk (S4),NO SUPPORT WAKE UP ON TIME
Wireless LAN Indicator,HDD,FDD,CD-ROM,Num Lock,Caps Lock,Scroll Lock LEDs.MPM Indicator.
6
8640 N/B Maintenance
TABLE 4 . 8640N (CAIMAN) HARDWARE SPECIFICATION
CPU
Video
Memory
PCMCIA
IDE
LCD Display
Button
LAN
Modem
Pointing
Keyboard
Mini-PCI
Card Reader
BIOS
Audio
I/O Port
Suspend Mode
Indicator
-mPGA 478-pin socket.Support Intel D/T Pentium 4 (Willamette) / Northwood & Celeron in mFC-PGA2
package
-Support FSB 400/533MHz
-Dual independent displays (LCD/CRT,LCD/TV,CRT/TV)
-Support Motion Compensation and iDCT
-Support Simultaneous display.
-Embedded 32MB DDR VRAM
Two 200Pin 200MHz/266MHz/333MHz DDR SO-DIMM,Without any On-Board Memory.
-Support one slot of Type II or Type I
-Non support Zoom Video/Audio Function
Support 2 IDE channel,Up to Ultra DMA 100
-Support dual 85MHz LVDS interface,
-Support up to QXGA (2048*1536) Resolution
4 Easy Start Buttons (functions defined by user),1 MPM Button,1 Power Button
Support to 10/100 Based T
56Kbps V.90 MDC Modem
Glide PAD with 2 buttons.
Internal Key Matrix Keyboard
One Type III A,Support 8cm Mini-Pci Card (Standard is 5cm)
Without Support 4 in 2 Card Reader (MMC/SD/SM/MS)
256KB Flash EEPROM (Include System BIOS & VGA BIOS)
- AC’97 Interface Codec.Sound Blaster Pro Compatible.
- Built-In 2 2W/4ohm speakers and 1 Mono-Microphone.
-Bi-Directional Parallel Port (EPP/ECP)
-External VGA Port (D-SUB 15Pins)
-4 USB 2.0 Port
-SPIDF(w/z Line-Out) Jack
-RJ-11 (4 Pin) port for modem
-Microphone In Jack
-RJ-45 Port for LAN
-VR for Audio Volume Control
-DC Input Jack
-Mini IEEE1394 Port
-(7 Pins) S-Video Output Port (NTSC/PAL)
-Battery Connector
-PS/2 Port
-FIR
POS (S1) , Suspend to RAM (S3) , Suspend to Disk (S4),NO SUPPORT WAKE UP ON TIME
Wireless LAN Indicator,HDD,FDD,CD-ROM,Num Lock,Caps Lock,Scroll Lock LEDs.MPM Indicator.
7
8640 N/B Maintenance
1.3 System Hardware
1.3.1 CPU Module
The Intel® SFF Desktop Pentium® 4 processor And processors is Intel’s most advanced, most powerful processor,
is based on the new Intel® NetBurst™ micro-architecture. The Pentium 4 processor is designed to deliver
performance across applications and usages where end users can truly appreciate and experience the performance.
These applications include Internet audio and streaming video, image processing, video content creation, speech, 3D,
CAD, games, multi-media, and multi-tasking user environments. The Intel SFF Desktop Pentium 4 processor
delivers this world-class performance for consumer enthusiast and business professional desktop users as well as for
entry-level workstation users.
Highlights of the SFF Desktop Pentium 4 processor:
Available at speeds ranging from 1.8/2GHz/2.2GHz/2.3GHz/2.4GHz
Featuring the new Intel NetBurst micro-architecture
Fully compatible with existing Intel Architecture-based software
Internet Streaming SIMD Extensions 2
Intel® MMX™ media enhancement technology
Memory cacheability up to 4 GB of addressable memory space and system memory scalability up to 64GB of
physical memory
8
8640 N/B Maintenance
Support for uni-processor designs
Based upon Intel’s 0.18 micron manufacturing process
Intel Pentium 4 Processor Product Feature:
The Intel NetBurst micro-architecture delivers a number of new and innovative features including Hyper
Pipelined Technology, 400 MHz System Bus, Execution Trace Cache, and Rapid Execution Engine as well as
a number of enhanced features Advanced Transfer Cache, Advanced Dynamic Execution, Enhanced Floatingpoint and Multi-media Unit, and Streaming SIMD Extensions 2. Many of these new innovations and advances
were made possible with improvements in processor technology, process technology, and circuit design that
could not previously be implemented in high-volume, manufacturability solutions. The features and resulting
benefits of the new micro-architecture are defined below.
Hyper Pipelined Technology
The hyper-pipelined technology of the NetBurst micro-architecture doubles the pipeline depth compared to the
P6 micro-architecture used on today’s Pentium III processors. One of the key pipelines, the branch prediction /
recovery pipeline, is implemented in 20 stages in the NetBurst micro-architecture, compared to 10 stages in the
P6 micro-architecture. This technology significantly increases the performance, frequency, and scalability of
the processor.
9
8640 N/B Maintenance
400 MHz System Bus:
The SFF Desktop Pentium 4 processor supports Intel’s highest performance desktop system bus by delivering
3.2GB of data per second into and out of the processor. This is accomplished through a physical signaling
scheme of quad pumping the data transfers over a 100-MHz clocked system bus and a buffering scheme
allowing for sustained 400-MHz data transfers. This compares to 1.06 GB/s delivered on the Pentium III
processor’s 133-MHz system bus.
Level 1 Execution Trace Cache:
In addition to the 8KB data cache, the Pentium 4 processor includes an Execution Trace Cache that stores up to
12K decoded micro-ops in the order of program execution. This increases performance by removing the decoder
from the main execution loop and makes more efficient usage of the cache storage space since instructions that
are branched around are not stored. The result is a means to deliver a high volume of instructions to the
processor’s execution units and a reduction in the overall time required to recover from branches that have been
mis-predicted.
Rapid Execution Engine:
Two Arithmetic Logic Units (ALUs) on the Pentium 4 processor are clocked at twice the core processor
frequency. This allows basic integer instructions such as Add, Subtract, Logical AND, Logical OR, etc. to
execute in half a clock cycle. For example, the Rapid Execution Engine on a 1.50 GHz Pentium 4 processor runs
at 3 GHz.
10
8640 N/B Maintenance
512KB, Level 2 Advanced Transfer Cache:
The Level 2 Advanced Transfer Cache (ATC) is 512KB in size and delivers a much higher data throughput
channel between the Level 2 cache and the processor core. The Advanced Transfer Cache consists of a 256-bit
(32-byte) interface that transfers data on each core clock.
As a result, the SFF Desktop Pentium 4 processor 1.6 GHz can deliver a data transfer rate of 4.8 GB/s.
This compares to a transfer rate of 16 GB/s on the Pentium III processor at 1 GHz. Features of the
ATC include:
-- Non-Blocking, full speed, on-die Level 2 cache
-- 256-bit data bus to the level 2 cache
-- Data clocked into and out of the cache every clock cycle
Advanced Dynamic Execution:
The Advanced Dynamic Execution engine is a very deep, out-of-order speculative execution engine that keeps the
execution units executing instructions. The Pentium 4 processor can also view 126 instructions in flight and handle
up to 48 loads and 24 stores in the pipeline. It also includes an enhanced branch prediction algorithm that has the
net effect of reducing the number of branch mis-predictions by about 33% over the P6 generation processor’s
branch prediction capability. It does this by implementing a 4KB branch target buffer that stores more detail on the
history of past branches, as well as by implementing a more advanced branch prediction algorithm.
11
8640 N/B Maintenance
Enhanced Floating-Point and Multimedia Unit:
The Pentium 4 processor expands the floating-point registers to a full 128-bit and adds an additional register for
data movement which improves performance on both floating-point and multimedia applications.
Internet Streaming SIMD Extensions 2 (SSE2):
With the introduction of SSE2, the Net Burst micro-architecture now extends the SIMD capabilities that MMX
technology and SSE technology delivered by adding 144 new instructions. These instructions include 128-bit
SIMD integer arithmetic and 128-bit SIMD double-precision floating-point operations. These new instructions
reduce the overall number of instructions required to execute a particular program task and as a result can
contribute to an overall performance increase. They accelerate a broad range of applications, including video,
speech, and image, photo processing, encryption, financial, engineering and scientific applications.
Features Used for Test and Performance / Thermal Monitoring:
Built-in Self Test (BIST) provides single stuck-at fault coverage of the microcode and large logic
arrays, as well as testing of the instruction cache, data cache, Translation Lookaside Buffers (TLBs),
and ROMs.
IEEE 1149.1 Standard Test Access Port and Boundary Scan mechanism enables testing of the
Pentium 4 processor and system connections through a standard interface.
Internal performance counters can be used for performance monitoring and event counting. Includes a new
Thermal Monitor feature that allows motherboards to be cost effectively designed to expected application power
usages rather than theoretical maximums.
12
8640 N/B Maintenance
1.3.2 SiS650 IGUI Host/Memory Controller with Integrated 2D/3D
Graphic/DDR266/AGP4X North Bridge
SiS650 IGUI Host Memory Controller
SiS650 IGUI Host Memory Controller integrates a high performance host interface for Intel Pentium 4 processor,
a high performance 2D/3D Graphic Engine, a high performance memory controller, an AGP 4X interface, and
SiS MuTIOL® Technology connecting w/ SiS962 MuTIOL® Media IO.
SiS650 Host Interface features the AGTL & AGTL+ compliant bus driver technology with integrated on-die
termination to support Intel Pentium 4 processors. SiS650 provides a 12-level In-Order-Queue to support
maximum outstanding transactions up to 12. It integrated a high performance 2D/3D Graphic Engine, Video
Accelerator and Advanced Hardware Acceleration MPEGI/MPEGII Video Decoder for the Intel Pentium 4 series
based PC systems. It also integrates a high performance 2.1GB/s DDR266 Memory controller to sustain the
bandwidth demand from the integrated GUI or external AGP master, host processor, as well as the multi I/O
masters. In addition to integrated GUI, SiS650 also can support external AGP slot with AGP 1X/2X/4X capability
and Fast Write Transactions. A high bandwidth and mature SiS MuTIOL® technology is incorporated to connect
SiS650 and SiS962 MuTIOL® Media I/O together. SiS MuTIOL® technology is developed into three layers, the
Multi-threaded I/O Link Layer delivering 1.2GB bandwidth to connect embedded DMA Master devices and
external PCI masters to interface to Multi-threaded I/O Link layer, the Multi-threaded I/O Link Encoder/Decoder
in SiS961 to transfer data w/ 533 MB/s bandwidth from/to Multi-threaded I/O Link layer to/from SiS650, and the
Multi-threaded I/O Link Encoder/Decoder in SiS650 to transfer data w/ 533 MB/s from/to Multi-threaded I/O
Link layer to/from SiS961.
13
8640 N/B Maintenance
SiS962 MuTIOL® Media I/O overview
An Unified Memory Controller supporting PC133 or DDR266 DRAM is incorporated, delivering a high
performance data transfer to/from memory subsystem from/to the Host processor, the integrated graphic engine or
external AGP master, or the I/O bus masters. The memory controller also supports the Suspend to RAM function
by retaining the CKE# pins asserted in ACPI S3 state in which only AUX source deliver power. The SiS650
adopts the Shared Memory Architecture, eliminating the need and thus the cost of the frame buffer memory by
organizing the frame buffer in the system memory. The frame buffer size can be allocated from 8MB to 64MB.
The SiS962 MuTIOL® Media I/O integrates the Audio Controller with AC 97 Interface the Ethernet MAC,
the Dual Universal Serial Bus Host Controllers, the IDE Master/Slave controllers, and the MuTIOL® Connect to
PCI bridge. The PCI to LPC bridge, I/O Advanced Programmable Interrupt Controller, legacy system I/O, I/O
Advanced Programmable Interrupt Controller and legacy power management functionalities are also integrated. The
SiS962 also incorporates an universal interface supporting the asynchronous inputs/outputs of the X86 compatible
microprocessors like PIII, K7, and P4.
The integrated Audio Controller features a 6 channels of AC 97 v2.2 compliance audio to present 5.1-channel
Dolby digital material or to generate stereo audio with simultaneous V.90 HSP modem operation. Besides, 4
separate SDATAIN pins are provided to support multiple audio Codecs + one modem Codec maximally,
effectuating the realization of 5.1 channel Dolby digital material in theater quality sound. Both traditional
consumer digital audio channel as well as the AC 97 v2.2 compliant consumer digital audio slot are supported.
VRA mode is also associated with both the AC 97 audio link and the traditional consumer digital audio channel.
14
8640 N/B Maintenance
The integrated Fast Ethernet MAC features an IEEE 802.3 and IEEE 802.3x compliant MAC supporting full
duplex 10 Base-T, 100 Base-T Ethernet, or 1Mb/s & 10Mb/s Home networking. 5 wake-up Frames, Magic
Packet and link status change wake-up functions in G1/G2 states are supported. Besides, the integrated MAC
provides a scheme to store the MAC address without the need of an external EEPROM. The 25 MHz oscillating
circuit is integrated so as only an external low cost 25 MHz crystal is needed for the clocking system.
The integrated Universal Serial Bus Host Controllers features Dual Independent OHCI Compliant Host
controllers with six USB ports delivering 2 x 12 Mb/s bandwidth and rich connectivity. Besides, each port can be
optionally configured as the wake-up source. Legacy USB devices as well as over current detection are also
implemented. The integrated IDE Master/Slave controllers features Dual Independent IDE channels supporting
PIO mode 0,1,2,3,4, and Ultra DMA 33/66/100. It provides two separate data paths for the dual IDE channels that
sustain the high data transfer rate in the multitasking environment. The MuTIOL® Connect to PCI bridge
supporting 6 PCI master is compliant to PCI 2.2 specification. The SiS961 also incorporates the legacy system
I/O like: two 8237A compatible DMA controllers, three 8254 compatible programmable 16-bit counters,
hardwired keyboard controller and PS2 mouse interface, Real Time clock with 256B CMOS SRAM and two
8259A compatible Interrupt controllers. Besides, the I/O APIC managing up to 24 interrupts with both Serial and
FSB interrupt delivery modes is supported.
The integrated power management module incorporates The ACPI 1.0b compliance functions, the APM 1.2
compliance functions, and the PCI bus power management interface spec. v1.1. Numerous power-up events and
power down events are also supported. 21 general purposed I/O pins are provided to give an easy to use logic for
specific application. In addition, the SiS961 supports Intel Speed Step technology and Deeper Sleep power state
for Intel Mobile processor. For AMD processor, the SiS961 use the CPUSTP# signal to reduce processor
voltage during C3 and S1 state.
15
8640 N/B Maintenance
1.3.4 Memory
Support unbuffer DDR 200/266/333MHz SO-DIMM expandable to 1024MB (2 DDR-SODIMM slots)
Table 4 . Memory Expansion Capacity
Slot1
64MB
64MB
64MB
64MB
64MB
128MB
128MB
128MB
128MB
256MB
256MB
256MB
512MB
512MB
Slot2
0
64MB
128MB
256MB
512MB
0
128MB
256MB
512MB
0
256MB
512MB
0
512MB
Total
64MB
128MB
192MB
320MB
576MB
128MB
256MB
384MB
640MB
256MB
512MB
768MB
512MB
1024MB
16
8640 N/B Maintenance
1.3.5 I/O PORTS
CRT Port
Standard VGA compatible port
DDC1 and DDC2B complian
Table 5 . CRT Connector
PIN
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
SIGNAL
RED
GREEN
BLUE
Monitor Sense
GND
GND
GND
GND
VCC
GND
Monitor Sense
CRT DATA
HSYNC
VSYNC
CRT CLK
DESCRIPTION
Red analog video output
Green analog video output
Blue analog video output
Monitor Sense
Ground
Ground
Ground
Ground
+5VDC
Ground
Monitor Sense
Data from DDC monitor
Horizontal Sync control
Vertical Sync control
Clock to DDC monitor
Figure 1 . CRT Connector
17
8640 N/B Maintenance
Table 6. CRT Support Mod
Resolution
1024x768x8@60NI
1024x768x16@60NI
1024x768x32@60NI
1024x768x8@75NI
1024x768x16@75NI
1024x768x32@75NI
1024x768x8@85NI
1024x768x16@85NI
1024x768x32@85NI
1280x1024x8@60NI
1280x1024x16@60NI
1280x1024x32@60NI
1280x1024x8@75NI
1280x1024x16@75NI
1280x1024x32@75NI
1280x1024x8@85NI
1280x1024x16@85NI
1280x1024x32@85NI
1600x1200x8@60NI
1600x1200x16@60NI
1600x1200x32@60NI
1600x1200x8@75NI
1600x1200x16@75NI
1600x1200x32@75NI
1600x1200x8@85NI
1600x1200x16@85NI
1600x1200x32@85NI
1920x1440x8@85NI
1920x1440x16@85NI
1920x1440x32@85NI
2048x1536x8@75NI
2048x1536x16@75NI
2048x1536x32@75NI
2048x1536x8@75NI
2048x1536x16@75NI
2048x1536x32@75NI
DCLK
65Mhz
65Mhz
65Mhz
78.75Mhz
78.75Mhz
78.75Mhz
94.5Mhz
94.5Mhz
94.5Mhz
108Mhz
108Mhz
108Mhz
135Mhz
135Mhz
135Mhz
157.5Mhz
157.5Mhz
157.5Mhz
162Mhz
162Mhz
162Mhz
202.5Mhz
202.5Mhz
202.5Mhz
230Mhz
230Mhz
230Mhz
330Mhz
330Mhz
330Mhz
333Mhz
333Mhz
333Mhz
333Mhz
333Mhz
333Mhz
Page Size
0.768MB
1.536MB
3.072MB
0.768MB
1.536MB
3.072MB
0.768MB
1.536MB
3.072MB
1.28MB
2.56MB
5.12MB
1.28MB
2.56MB
5.12MB
1.28MB
2.56MB
5.12MB
1.875MB
3.75MB
7.5MB
1.875MB
3.75MB
7.5MB
1.875MB
3.75MB
7.5MB
1.875MB
3.75MB
7.5MB
3MB
6MB
12MB
3MB
6MB
12MB
Band Width
65MB/s
130MB/s
260MB/s
78.75MB/s
157.5MB/s
315MB/s
94.5MB/s
189MB/s
398MB/s
108MB/s
216MB/s
432MB/s
135MB/s
270MB/s
540MB/s
157.5MB/s
315MB/s
630MB/s
162MB/s
324MB/s
648MB/s
202.5MB/s
405MB/s
910MB/s
230MB/s
460MB/s
920MB/s
230MB/s
460MB/s
920MB/s
255MB/s
510MB/s
1.2GB/s
255MB/s
510MB/s
1.2GB/s
DRAM Size
1MB
2MB
4MB
1MB
2MB
4MB
1MB
2MB
4MB
2MB
4MB
6MB
2MB
4MB
6MB
2MB
4MB
6MB
4MB
4MB
8MB
4MB
4MB
8MB
4MB
4MB
8MB
4MB
4MB
8MB
8MB
8MB
16MB
8MB
8MB
16MB
18
8640 N/B Maintenance
1.3.6 Pins S-VIDEO port for TV-Out
Table 7 . S-video Port
PIN
1
2
3
4
5
6
7
SIGNAL NAME
GND
NC
COMP
GND
CRMA
NC
LUMA
DIRECTION
O
O
O
• Support up 1024*768 resolution
• Support PAL and NTSC system
• Support Composite Output by a transfer cable
Table 8. TV Out Support Modes
System
Input(Active) Resolution
Active TV lines
Over/Under scan
NTSC
NTSC
NTSC
NTSC
NTSC
NTSC
320x200
640x480
720x480
720x400
800x600
1024x768
480 ~ 400
480 ~ 400
480 ~ 400
480 ~ 400
480 ~ 420
480
+
+
+
+
+
Over
System
Input(Active) Resolution
Active TV lines
Over/Under scan
PAL
PAL
PAL
PAL
PAL
PAL
320x200
640x480
720x400
720x576
800x600
1024x768
540 ~ 500
540 ~ 500
540 ~ 500
576 ~ 510
600 ~ 510
520
+
+
+
+
+
Under
19
8640 N/B Maintenance
1.3.7 IEEE 1394a Port
• The bus transfer rate of 100,200,400 Mbits/s is supported
• The Asynchronous and Isochronous data transfers are supported.
• One IEEE1394a port supported
Table.9 IEEE1394 Port
PIN
1
2
3
4
SIGNAL NAME
TPBTPB+
TPATPA+
DIRECTION
I/O
I/O
I/O
I/O
Figure 2 . IEEE1394 Connector
1.3.8Audio Ports
• SPDIF
• Microphone In
Built In 2 high quality internal speaker (2W/4ohm w/ Box)
Built in 1 mono microphone
• AC97 V2.2 compliance
20
8640 N/B Maintenance
Table.10 Audio Port
Plug Ear-Phone In
Plug SPDIF Device In
Plug External Microphone
Internal Speaker
Internal Microphone
LED Of SPDIF
Mute
Mute
Active
Active
Active
Mute
Off
On
Off
1.3.9 RJ-11
Connection to Modem Daughter Board Connector or Mini-PCI Modem Card.Support 56Kbps/V.92
Table 11 . Modem Port
Pin
1
2
3
4
Signal Name
NC
LINE +
LINE NC
Direction
I/O
I/O
-
Description
No Connect
Phone Line Positive
Phone Line Negative
No Connect
Figure 3 . Modem C
21
8640 N/B Maintenance
1.3.10 RJ-45
The Fast Ethernet MAC Controller features an IEEE802.3 and IEEE802.3x compliant MAC with external LAN
physical layer chip (ICS1893AF) supporting full duplex 10 Base-T,100 Base-T Ethernet.
Support Wake-On-LAN function in System enter to S1,S3.
Table 12. LAN Port
Pin
1
2
3
4
5
6
7
8
Signal Name
TX+
TXRX+
TERM 1
TERM 2
RX
TERM 3
TERM 4
Direction
Out
Out
IN
IN
-
Description
Transmit Data Ring
Transmit Data Tip
Receive Data Ring
Internal termination resistor
Internal termination resistor
Receive Data Tip.
Internal termination resistor
Internal termination resistor
Figure 4 . LAN Connector
1.3.11 Infrared interface supporting IrDA format
FIR IrDA 1.1 compliant.
HP-SIR supported.
22
8640 N/B Maintenance
1.3.12 USB Ports
Four industry standard USB 2.0 ports (Backward compatible to USB 1.1)
Support maximum transfer rate up to 480Mbits/s
Table 13 . USB Port
Signal Name
1
2
3
4
VCC
DATADATA+
GND
Direction
Power
I/O
I/O
Power
Description
USB Device Power (+5VDC)
Balanced Data Negative
Balanced Data Positive
Ground
Figure 5 . USB CONNECTOR
23
8640 N/B Maintenance
1.3.13 PS/2 Port
Support Mouse and Keyboard via a Y-Type cable
1.3.14 Parallel Port
Table14. Parallel Port
• Configurable as logical ports LPT1 , LPT2 or LPT3
• EPP rev 1.7 & 1.9 compatible
• ECP (IEEE 1284) compatible
• Industry standard 25 Pins connector
• IEEE1284 Compliant.
Figure6 . Parallel Port Connector
Pin
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
Case
Signal Name
STROBE#
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
ACK#
BUSY
PE
SLCT
AUTOFDXT#
ERROR#
INIT#
SLCTIN#
GND
GND
GND
GND
GND
GND
GND
GND
GND
Direction
O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I/O
I
I
I
I
O
I
O
I
-
Description
Data Strobe
PP Data bit 0
PP Data bit 1
PP Data bit 2
PP Data bit 3
PP Data bit 4
PP Data bit 5
PP Data bit 6
PP Data bit 7
Printer Acknowledge
Printer Busy
Paper Out
Print Select Acknowledge
Auto Line Feed
Printer Error
Reset Printer
Select In
Ground
Ground
Ground
Ground
Ground
Ground
Ground
Ground
Ground
24
8640 N/B Maintenance
Silver(8640I)
SiS M650 Embeded Graphical Controller + SiS301LV/SiS302LV Video Bridge.AGP 4X Interface.Use Share
Memory Architecture,The frame buffer can use 16/32/64MB.
Gold/Platinum(8640D/8640M)
NVidia Gforce 4 GO (MAP17) graphical controller embedded 32MB DDR VRAM AGP 4X Interface
DSIPLAY
Silver(8640I)
Internal LCD Display is 15.1”/14.1” TFT ISP XGA/SXGA+ color
Dual View of LCD+CRT / LCD+TV independent display.
External Video refresh rate of up to 100Hz supported
Vertical refresh frequencies to meet VESA requirements
Simultaneous video in specified video modes – switchcable with hot key
Gold / Platinum(8640D/8640M)
Internal LCD Display is 15.1”/14.1” TFT ISP XGA/SXGA+/UXGA color
Dual View of LCD+CRT / LCD+TV independent display.
internal Video refresh rate of up to 100Hz supported
25
8640 N/B Maintenance
Vertical refresh frequencies to meet VESA requirements
Simultaneous video in specified video modes – switchable with hot key
1.3.15 PC CARD SLOT
• One Type II/I slot supporting the 1997 PC Card standard,and including full R2 (16-bit) and 32-bit Cardbus data
transfer
• ENE CB1410 (PCMCIA Controller) & ENE CP2211 (Power Switch)
• Ability to wake-up from D3-Hot and D3-Cold 0
• Mixed-and-match 5V/3.3V 16 bits PC CARDs and 3.3V Cardbus Card
1.3.16 IDE Interface
• Support Dual Independent IDE Channels, One is Hard-Disk. The other one is Optical.Device
• Supports PIO mode 0,1,2,3,4 and Ultra DMA 33/66/100
26
8640 N/B Maintenance
1.3.17 Read Only Memory (Bios Flash)
• Fully compatible with industry standard software including Windows 2000 & Windows XP
• Fully supports APM V1.2 and latest ACPI specification
• 2Mb Flash BIOS
• Inside BIOS core
1.3.18 Power Management Features
• Local standby mode (Individual devices such as HDD, graphics controller,LCD etc.. )
• CPU Idle mode (Including ACPI modes C1 and C2)
• Suspend mode (Including S1 and S3 ACPI modes)
• Fully APM V1.2 compliant
• Fully ACPI V1.1 compliant
• Hibernate for Windows 2000 and Windows XP
• Thermal management
• Fully US EPA Energy Start compliant
27
8640 N/B Maintenance
1.3.19 KEYBOARD CONTROLLER
• Hitachi H8-3437S
1.3.20 SUPER I/O
• NS PC87393F LPC interface Ultra I/O
1.3.21 LEDs INDIACTOR
• CDROM & HDD & NUM & CAP & SCROLL & Wireless Indicator
1.3.22 BUTTONs
• Four piece EASY START BTNs
• One MPM Button w/z Blue LED
28
8640 N/B Maintenance
Table.15 power LED
29
8640 N/B Maintenance
1.3.23 TOUCH PAD MODULE
Synaptics TM41PU-311 with two Buttons
1.3.24 Modem (MDC Option )
Table 16 . MODEM DAUGHTR BOARD CONNECTOR
PIN
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
SIGNAL NAME
MONO_OUT
GND
NC
NC
NC
NC
NC
GND
+3V
GND
+3V
ACSDOUT
-ACRST
GND
GND
PIN
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
SIGNAL NAME
NC
MODEM_SPK
NC
GND
+5V
NC
NC
Pull Up to +3V
+5V
GND
ACSYNC
MSDIN
MSDIN
GND
ACBITCLK
30
8640 N/B Maintenance
1.3.25 Card Reader(only 8640D/8640M)
• Support Smart-Media, SD , Memory Stick , Multi Media Card
• Support 2 card simultaneous.(Smart Media + Memory Stick or Smart Media+Multi Media Card or
Smart Media+ SD Memory Card)
• Support Boot function.
Table17 Memory card
Type
Memory Stick
SmartMedia
MultiMediaCard
SD memory card
Picture
Size
21.5x50x2.8
37x45x0.76
24x32x1.4
24x32x2.1
Weight (g)
4
2
1.5
2
Volume
3,010
1,265
1,075
1,612
Developer
Sony Corp.('98)
TOSHIBA
Corp.('95)
Siemens AG.
SanDisk Corp. ('97)
Matsushita
Electronic Industrial
CO., Ltd.
TOSHIBA Corp.
SanDisk Corp. ('00)
No. of pins
10
22
7
9
Storage
capacity
(MB)
8, 16, 32, 64 and 128
(due for release in
4 - 64
'01)
4 - 64
8 - 64
-
-
ID
Adherence to SDMI
Data transfer 1.8MB/s Max.(W)
rate
2.45MB/s Max.(R)
-
Copyright
protection
ID
Adherence to SDMI
(MagicGate)
31
8640 N/B Maintenance
1.4 Electrical Characteristic
1.4.1 Power On Sequence
Press Power Button
CPU
NORTHWOOD
Power BTN#
Main power
VCCPVID
LDO
MIC5248
PS_ON
H8_SUSC
H8__SISPOWERBTN
+5VS
DC TO DC CONVERTER
+12VS/+5VS/+3VS/+1.5V/
VDD_MEM2.5/+1.2VS/
+2.8VS
H8_PWROK
Embeded Controller
H8-3437S
PWR_ON
CPU_CORE_EN
South Bridge
SIS962
AUXOK
By +3v
DC to DC Converter
+2.5V_DDR/1.8V/+3V/
+5V/+12V/+1.25V
DC TO DC CONVERTER
+VCC_CORE
Standby Power
PCIRST#
MAINPOWER
CPUPWRGD
CPURST#
Table.18 Power on Sequence
System
North Bridge
SIS M650/645DX
32
8640 N/B Maintenance
1.4.2 Suspend To RAM Sequence
SLP#(5)
H_STPCLK#(2)
Sleep Event (1)
Pentium 4 processor
Northwood
CPURST# (9)
Self-Refresh (3)
North Bridge
SiS M650/645DX
DDR SO-DIMM
CKE[0:5] (4)
PCIRST# (8)
DC TO DC COVERTER
+12S/+5VS/+43VS/+1.5VS/VDD_MEM2.5/
+1.2VS/+2.8VS
South Bridge
SiS962
H8_
PS_ON#(6)
PWROK(7)
S3AUXSW#(4)
Embeded Controller
H8-3437S
MAINPWR(10)
Table.19 RAM Sequence
33
8640 N/B Maintenance
1.4.3 Resume from Suspend To RAM Sequence
Wakeup Event (1)
Pentium 4 Processor
Northwood
CPURST# (6)
Self-refresh (3)
North Bridge
SIS M650/645DX
CKE#[0:5] (7)
DDR SO-DIMM
PCIRST# (5)
South Bridge
SIS 962
PS_ON# (2)
H8_PWROK (4)
DC To DC Converter
+12VS/+5VS/+3VS/+1.5VS/VDD_MEM2.5/
+1.2VS/+2.8VS
S3AUXSW# (7)
MAINPWR (3)
Embeded Controller
H8-3437S
Table.20 Resume From Suspend to RAM Sequence
34
8640 N/B Maintenance
1.4.4 SiS962 GPI/O Pin Define
SiS962 GPIO Define
GPIO
Signal
Type
Pin Name
Mux Function
Power Plane
Function Name Define
GPIO0
SPDIF
GPIO SPDIFOUT
O MAIN
GPIO1
LDRQ1#
GPIO NC
MAIN
GPIO2
THERM#
GPIO SB_THRM# I MAIN
GPIO3
EXTSMI#
GPIO EXTSMI#
I MAIN
GPIO4
CLKRUN# (NB only)
GPIO CLKRUN#
I MAIN
GPIO5
PREQ5#
GPIO MPCI_PD
I MAIN
GPIO6
PGNT5#
GPIO NC
I MAIN
GPIO7
GPWAK#
GPIO SPK_OFF
O AUX
GPIO8
RING
GPIO WAKE_UP# I AUX
GPIO9
AC_SDIN2
GPIO SCI#
I AUX
GPIO10 AC_SDIN3
GPIO CRT_IN#
I AUX
GPIO11 STP_PCI# (NB only) / CLK25M GPIO STP_PCI#
O AUX
GPIO12 CPUSTP# (NB only)
GPIO CPU_STP#
O AUX
GPIO13 DPRSLPVR (NB only)
GPIO DPRSLPVR O AUX
GPIO14 AGPSTOP# (NB only)
GPIO MPCIACT#
I AUX
GPIO15 KBDAT / VR_HILO# (NB only) GPIO GMUXSEL O AUX
GPIO16 KBCLK / LOHI# (NB only)
GPO CPUPERF# O AUX
GPIO17 PMDAT / VGATEM (NB only)
GPO GATEM#
O AUX
GPIO18 PMCLK / RTC32KHz (NB only) GPO NC
AUX
GPIO19 Reserved for CLK
GPIO SMBCLK
I/O AUX
GPIO20 Reserved for DAT
GPIO SMBDATA I/O AUX
GPIO21 EESK
GPI EESK
O AUX
GPIO22 EEDI
GPI EEDI
O AUX
GPIO23 EEDO
GPI EEDO
I AUX
GPIO24 EECS
GPI EECS
O AUX
APCID0 THERM2#
Pull Down
MAIN (GTL LEVEL)
APCID1 GPIOFF#
Pull Down
MAIN (GTL LEVEL)
APICCLK Reserved
Pull Up
MAIN
OC2
GPI
GPI OC#2
I AUX
OC5
GPI
GPI Pull Up
AUX
Table21 Pin Define
35
8640 N/B Maintenance
1.4.5 Keyboard Controller Pin Define
pin port
Signal Name
Type
Description
31 PA2
NC
NC X
47 PA1
BATT_DEAD#
I
Indicated the battery capacity is not enough to power on system
48 PA0
RI#
I
If system on suspend mode, then received this signal & system have to wake up
57 PB7
T_DATA
I/O Connect to touch Pad DATA
58 PB6
M_DATA
OD PS/2 Mouse Data
68 PB5
KM_DATA
OD PS/2 Keyboard Data
69 PB4
CHARGING
O
Indicated charge circuitry to work
80 PB3
FAN1_SPD
I
Return FAN1 (CPU FAN) Speed.
81 PB2
FAN0_SPD
I
90 PB1
LED_DATA
O
Return FAN0 (CPU Core D/D FAN) Speed.
(Bit 0-7:-SCROLL,-NUM,-CAP,-AC POWER,-BATT POWER,BATT
R,-BATT_G)
91 PB0
LED_CLK
O
For LED indicate
5
MD1 H8_MODE1
I
H8 Mode select
6
MD0 H8_MODE0
I
H8 Mode select
16 P97
BAT_DATA
I/O SM_BUS DATA For Smart Battery.
17 P96
NC
NC X
18 P95
H8_SISPWRBTN#
O
Button to SiS962
19 P94
SW_+5VA
O
22 P93
H8_THRM#
O
To switch +5V/+5VA power source
TO SiS962,REGUSTANG THE SYSTEM TOENTER POWER MANGMENT
MODE,Clock Throttling.
23 P92
POWERBTN#
I
System power button
24 P91
LID#
I
Cover switch,Logic Low means LCD Cover Closed.
25 P90
H8_SUSC
I
System inter S4~S5,High:STD or Power Off,Low:Normal Operation.
Table22 Keyboard Controller Pin Define
36
8640 N/B Maintenance
Pin
Port
Signal Name
Type
Description
79~72 P10~P17 KO[0..7]
O
Keyboard Matrix
67~60 P20~P27 KO[8..15]
O
Keyboard Matrix
82~89 P30~P37 SD[0..7]
I/O ISA Data Bus
49
P40
NC
NC X
50
P41
H8_WAKE_UP#
O
Connect to chipset SiS962
to wake up system
51
P42
H8_SMI#
O
Connect to chipset SiS962
to system management interrupt(Non-ACPI mode)
52
P43
H8_SCI
O
Connect to chipset SiS962
to system configuration interrupt(ACPI mode)
53
P44
IRQ1
O
IRQ For Keyboard
54
P45
IRQ12
O
IRQ For Mouse
55
P46
FAN0#
O
Control CPU Core D/D FAN (Second FAN)
56
P47
FAN1#
O
Control CPU FAN ON & Turn ON/OFF Duty
14
P50
PWR_ON
O
Control System Power ON/OFF
13
P51
NC
12
P52
LEARNING#
ON & Turn ON/OFF Duty
NC X
O
Control
Charger Circuitry for Battery Learning
26~29 P60~P67 KI[0..7]
I
Keyboard Matrix
1
RESET
H8_RESET#
I
Reset H8-3437S
7
NMI#
H8_SUSB
I
STR Indicator,High:STR,Low:Normal Operation.
8
STBY
H8_STBY#
I
No USE
10
PA7
T_CLK
I/O Connect to Touch Pad clock
11
PA6
M_CLK
OD PS/2 Mouse Clock
20
PA5
KM_CLK
OD PS/2 Keyboard Clock
21
PA4
H8_PWROK
O
System Power Ready.
30
PA3
ADEN#
I
ADAPTOR IN
99
P86
BAT_CLK
98
P85
H8_MCCS#
I/O SM_BUS Clock For Smart Battery.
I
Port 60h/64h Chip Select.
Table22 Keyboard Controller Pin Define Continue
37
8640 N/B Maintenance
pin port
signal
type
description
97
P84
IOW#
I
INPUT/OUTPUT WRITE
96
P83
IOR#
I
INPUT/OUTPUT READ
95
P82
H8_KBCS#
I
Port 62h/66h Chip Select.
94
P81
NC
93
P80
SA2
I
ISA ADDRESS
45
P77
BLADJ
O
Back / Light Adjust Control
44
P76
CHG_I
O
43
P75
POWER THRM
I
Monitor Thermal of D/D for CPU Core.
42
P74
+5VS
I
+5VS Monitor.
41
P73
+1.8VS
I
+1.8VS Monitor.
40
P72
I_LIMIT
I
FOR BATTERY CHARGE
39
P71
BAT_VOLT
I
Report Battery Voltage
38
P70
BAT_TEMP
I
Report Battery Thermal
NC X
Table22 Keyboard Controller Pin Define Continue
38
8640 N/B Maintenance
1.4.6 Power Consumption Of Suspend Mode
• Suspend To RAM < 40mA
• Suspend To Disk / Soft-Off /Mechanical Off < 1mA
1.4.7 Clock Harmonic List
12M
1
2
3
12MHz
24MHz
36MHz
12.288M
14.318M
16M
24M
24.576M
25M
27M
32.768K
33M
48M
65M
66M
100M
133M
12.288MHz
14.318MHz
16MHz
24MHz
24.576MHz
25MHz
27M
32.768KHz
33MHz
48MHz
65MHz
66MHz
100MHz
133MHz
24.576MHz
28.636MHz
32MHz
48MHz
49.152MHz
50MHz
54M
65.536KHz
66MHz
96MHz
130MHz
132MHz
200MHz
266MHz
36.864MHz
42.954MHz
48MHz
72MHz
73.728MHz
75MHz
81M
98.304KHz
99MHz 144MHz
195MHz
198MHz
300MHz
399MHz
64MHz
96MHz
98.304MHz 100MHz 108M 131.072KHz 132MHz 192MHz
260MHz
264MHz
400MHz
532MHz
122.88MHz 125MHz 135M
163.84KHz 165MHz 240MHz
325MHz
330Mhz
500MHz
665MHz
96MHz 144MHz 147.456MHz 150MHz 162M 196.608KHz 198MHz 288MHz
390MHz
396Mhz
600MHz
798MHz
931MHz
4
48MHz
49.152MHz
57.272MHz
5
60MHz
61.44MHz
71.59MHz
6
72MHz
73.728MHz
85.908MHz
7
84MHz
86.016MHz
100.226MHz 112MHz 168MHz 172.032MHz 175MHz 189M 229.376KHz 231MHz 336MHz
455MHz
462Mhz
700MHz
8
96MHz
98.304MHz
114.544MHz 128MHz 192MHz 196.608MHz 200MHz 216M 262.144KHz 264MHz 384MHz
520MHz
528Mhz
800Mhz 1.064GHz
9
108MHz
110.592MHz 128.862MHz 144MHz 216MHz 221.184MHz 225MHz 243M 294.912KHz 297MHz 432MHz
585MHz
594MHz
900Mhz 1.197GHz
10
120MHz
122.88MHz
327.68KHz 330MHz 480MHz
650MHz
660MHz
11
132MHz
135.168MHz 157.498MHZ 176MHz 264MHz 270.336MHz 275MHz 297M 360.448KHz 363MHz 528MHz
715MHz
12
144MHz
147.456MHz 171.816MHz 192MHz 288MHz 294.912MHz 300MHz 324M 393.216KHz 396MHz 576MHz
780MHz
13
156MHz
159.744MHz 186.134MHz 208MHz 312MHz 319.488MHz 325MHz 351M 425.984KHz 429MHz 624MHz
845MHz
14
168MHz
172.032MHz 200.452MHz 224MHz 336MHz 344.064MHz 350MHz 378M 458.752KHz 462MHz 672MHz
910MHz
15
180MHz
16
192MHz
990MHz 1.500GHz 1.995GHz
196.608MHz 229.088MHz 256MHz 384MHz 393.216MHz 400MHz 432M 524.288KHz 528MHz 768MHz 1.040GHz 1.056GHz 1.600GHz 2.128GHz
184.32MHz
80MHz 120MHz
143.18MHz 160MHz 240MHz
214.77MHz 240Mhz 360MHz
245.76MHz 250MHz 270M
368.64MHz 375MHz 405M
Table23 clock harmonic list
491.52KHz 495MHz 720Mhz
1GHz 1.330GHz
726MHz 1.100GHz 1.463GHz
792MHz 1.200GHz 1.596GHz
858MHz 1.300GHz 1.729GHz
924MHz 1.400GHz 1.862GHz
975MHz
39
8640 N/B Maintenance
208.896MHz 243.406MHz 272MHz 408MHz 417.792MHz 425MHz 459M 557.056KHz 561MHz 816MHz 1.105GHz 1.122GHz 1.700GHz 2.261GHz
221.184MHz 257.724MHz 288MHz 432MHz 442.368MHz 450MHz 486M 589.824KHz 594MHz 864MHz 1.170GHz 1.188GHz 1.800GHz 2.394GHz
17
204MHz
18
216MHz
19
228MHz
20
240MHz
21
252MHz
22
264MHz
23
276MHz
24
288MHz
25
300MHz
26
312MHz
27
324MHz
28
336MHz
29
348MHz
30
360MHz
356.352MHz 415.222MHz 464MHz 696MHz 712.704MHz 725MHz 783M 950.272KHz 957MHZ 1.392GHz 1.885GHz 1.914GHz 2.900GHz 3.857GHz
429.54MHz 480MHz 720MHz 737.28MHz 750MHz 810M 983.04KHz 990MHz 1.440GHz 1.950GHz 1.980GHz 3.000GHz 3.990GHz
368.64MHz
31
372MHz
380.928MHz 443.858MHz 496MHz 744MHz 761.856MHz 775MHz 837M
32
384MHz
393.216MHz 458.176MHz 512MHz 768MHz 786.432MHz 800MHz 864M
33
396MHz
405.504MHz 472.494MHz 528MHz 792MHz 811.008MHz 825MHz 891M
34
408MHz
417.792MHz 486.812MHz 544MHz 816MHz 835.584MHz 850MHz 918M
35
420MHz
430.08MHz
36
432MHz
442.368MHz 515.448MHz 576MHz 864MHz 884.736MHz 900MHz 972M
37
444MHz
45.656MHz
38
456MHz
466.944MHz 544.084MHz 608MHz 912MHz 933.888MHz 950MHz 1026M
39
468MHz
479.232MHz 558.402MHz 624MHz 936MHz 958.464MHz 975MHz 1053M
40
480MHz
491.52MHz
233.472MHz 272.042MHz 304MHz 456MHz 466.944MHz 475MHz 513M 622.592KHz 627MHz 912MHz 1.235GHz 1.254GHz 1.900GHz 2.527GHz
286.36MHz 320MHz 480MHz 491.52MHz 500MHz 540M 655.36KHz 660MHz 960Mhz 1.300GHz 1.320GHz 2.000GHz 2.660GHz
245.76MHz
258.048MHz 300.678MHz 336MHz 504MHz 516.096MHz 525MHz 567M 688.128KHz 693MHz 1.008GHz 1.365GHz 1.386GHz 2.100GHz 2.793GHz
270.336MHz 314.996MHz 352MHz 528MHz 540.672MHz 550MHz 594M 720.896KHz 726MHz 1.056GHz 1.430GHz 1.452GHz 2.200GHz 2.926GHz
282.624MHz 329.314MHz 368MHz 552MHz 565.248MHz 575MHz 621M 753.664KHz 759MHz 1.104GHz 1.495GHz 1.518GHz 2.300GHz 3.059GHz
294.912MHz 343.632MHZ 384MHz 576MHz 589.824MHz 600MHz 648M 786.432KHz 792MHz 1.152GHz 1.560GHz 1.584GHz 2.400GHz 3.192GHz
819.2KHz 825MHz 1.200GHz 1.625GHz 1.650GHz 2.500GHz 3.325GHz
319.488MHz 372.268MHz 416MHz 624MHz 638.976MHz 650MHz 702M 851.968KHz 858MHz 1.248GHz 1.690GHz 1.716GHz 2.600GHz 3.458GHz
307.2MHz
357.95MHz 400MHz 600MHz
614.4MHz 625MHz 675M
331.776MHz 386.586MHz 432MHz 648MHz 663.552MHz 675MHz 729M 884.736KHz 891MHz 1.296GHz 1.755GHz 1.782GHz 2.700GHz 3.591GHz
344.064MHz 400.904MHz 448MHz 672MHz 688.128MHz 700MHz 756M 917.504KHz 924MHz 1.344GHz 1.820GHz 1.848GHz 2.800GHz 3.724GHz
501.13MHz 560MHz 840MHz
860.16MHz 875MHZ
945M
529.766MHz 592MHz 888MHz 909.312MHz 925MHz 999M
572.72MHz 640MHz 960MHz
983.04MHz
1GHz 1080M
1.015MHz 1.023GHz 1.488GHz 2.015GHz 2.046GHz 3.100GHz 4.123GHz
1.048MHz 1.056GHz 1.536GHz 2.080GHz 2.112GHz 3.200GHz 4.256GHz
1.081MHz 1.089GHz 1.584GHz 2.145GHz 2.178GHz 3.300GHz 4.389GHz
1.114MHz 1.122GHz 1.632GHz 2.210GHz 2.244GHz 3.400GHz 4.522GHz
1.146MHz 1.155GHz 1.680GHz 2.275GHz 2.310GHz 3.500GHz 4.655GHz
1.179MHz 1.188GHz 1.728GHz 2.340GHz 2.376GHz 3.600GHz 4.788GHz
1.212MHz 1.221GHz 1.776GHz 2.405GHz 2.442GHz 3.700GHz 4.921GHz
1.245MHz 1.254GHz 1.824GHz 2.470GHz 2.508GHz 3.800GHz 5.054GHz
1.277MHz 1.287GHz 1.872GHz 2.535GHz 2.574GHz 3.900GHz 5.187GHz
1.310MHz 1.320GHz 1.920GHz 2.600GHz 2.640GHz 4.000GHz 5.320GHz
Table23 clock harmonic list
40
8640 N/B Maintenance
1.4.8 Audio Performance
8640 meet all the following items
Test Items
Full Scale Output Voltage
Sample Frequency Accuracy
Frequency Response (44.1ks/sec)
Frequency Response (48ks/sec)
Dynamic Range (SNR)
THD+N
Cross-talk
Mobile System
≧0.7Vrms (3.3V audio)
≦0.1%
20Hz~15kHz
20Hz~15kHz
≧70dBFSA
≦-55dBFS
≧50dB
Table24 Digital Playback (PC-D-A) for Line Output
Test Items
Frequency Response
Dynamic Range (SNR)
THD+N
Mobile System
100Hz~12kHz
≧60dBFSA
≦-50dBFS
Table25. Analog Pass-through(A-A) for Microphone Input to Line Output
Test Items
Full Scale Input Voltage
Sample Frequency Accuracy
Frequency Response(22.05ks/sec)
Dynamic Range (SNR)
THD+N
Mobile System
≧100mVrms
≦0.1%
100Hz~8.8kHz
≧60dBFSA
≦-50dBFS
Table26. Digital Recording(A-D-PC) for Microphone Input
41
8640 N/B Maintenance
2. System View and Disassembly
2.1 System View
2.1.1 Front View
Stereo Speaker Set
Line Out Phone Jack
External Microphone Jack
Volume Control
Top Cover Latch
2.1.2 Left-side View
Kensington Lock
Ventilation Openings
RJ-11 Connector
PC Card Slot
42
8640 N/B Maintenance
2.1.3 Right-side View
Read card socket
CD-ROM/DVD-ROM Drive
Hard Disk Drive
PS/2 Connector
2.1.4 Rear View
Power Connector
RJ-45 Connector
Mini IEEE1394 Connector
USB Ports
S-Video Output Connector
VGA Port
Parallel Port
Ventilation Openings
43
8640 N/B Maintenance
2.1.5 Bottom View
Hard Disk Drive
Extend SO-DIMM and Wireless Card
Battery Park
2.1.6 Top-open View
Battery Charge Indicator
Battery Power Indicator
AC Power Indicator
Microphone
Keyboard
Touch Pad
LCD Screen
Easy Start Buttons
Power Button
44
8640 N/B Maintenance
2.2 System Disassembly
The section discusses at length each major component for disassembly/reassembly and show corresponding
illustrations. Use the chart below to determine the disassembly sequence for removing components from the
notebook.
NOTE: Before you start to install/replace these modules, disconnect all peripheral devices and make sure the
notebook is not turned on or connected to AC power.
2.2.1 Battery Pack
2.2.2 Keyboard
2.2.3 CPU
Modular Components
2.2.4 HDD Module
2.2.5 CD-ROM Drive
2.2.6 SO-DIMM
2.2.7 Wireless Card
NOTEBOOK
2.2.8 LCD Assembly
LCD Assembly Components
2.2.9 Inverter Board
2.2.10 LCD Panel
2.2.11 System Board
Base Unit Components
2.2.12 Card Reader
2.2.13 Touch Pad Board
45
8640 N/B Maintenance
2.2.1 Battery Pack
Disassembly
1. Carefully put the notebook upside down.
2. Slide the two release lever to the “unlock” ( ) position (), then sliding and holding the release lever outwards
while take the battery pack out of the compartment (). (Figure 2-1)
Figure 2-1 Remove the battery pack
Reassembly
1. Replace the battery pack into the compartment. The battery pack should be correctly connected when you
hear a clicking sound.
2. Slide the release lever to the “lock” (
) position.
46
8640 N/B Maintenance
2.2.2 Keyboard
Disassembly
1. Remove the battery pack. (See section 2.2.1 Disassembly)
2. Open the top cover.
3. Insert a small rod, such as a straightened paper clip, into the eject hole near the power connector of the notebook.
(Figure 2-2)
Figure 2-2 Insert a rod easy to remove
Figure 2-3 Remove easy start
buttons cover
4. Push the rod firmly and slide the easy start buttons cover to the left (). Then lift the easy start buttons cover up
from the left side (). (Figure 2-3)
47
8640 N/B Maintenance
5. Remove three screws fastening keyboard on the base unit cover. (Figure 2-4)
6. Slightly lift up the keyboard and disconnect the cable from the system board to detach the keyboard. (Figure 2-5)
Figure 2-4 Remove three screws
Figure 2-5 Remove keyboard
Reassembly
1. Reconnect the keyboard cable and fit the keyboard back into place with three screws.
2. Replace the easy start buttons cover.
48
8640 N/B Maintenance
2.2.3 CPU
Disassembly
1. Remove the battery pack. (See section 2.2.1 Disassembly)
2. Remove the keyboard to access the CPU compartment. (See section 2.2.2 Disassembly)
3. Remove five screws fastening the plate Assy. (Figure 2-6)
Figure 2-6 Remove five screws
Figure 2-7 Disconnect the cable
4. Disconnect the fan’s power cord from system board. Then remove the plate Assy. (Figure 2-7)
49
8640 N/B Maintenance
4. Remove three screws fastening the heatsink. (Figure 2-8)
5. To remove the existing CPU, lift the socket arm up to the vertical position. (Figure 2-9)
Figure 2-8 Remove the fan’s power cord
Figure 2-9 Remove the CPU
Reassembly
1. Carefully, align the arrowhead corner of the CPU with the beveled corner of the socket, then insert CPU
pins into the holes. Place the lever back to the horizontal position and push the lever to the left .
2. Connect the fan’s power cord to the system board, fit the heatsink onto the top of the CPU and secure with
four screws.
3. Replace the keyboard .Then replace easy start buttons cover.
50
8640 N/B Maintenance
2.2.4 HDD Module
Disassembly
1. Carefully put the notebook upside down.
2. Remove two screw and slide the HDD module out of the compartment. (Figure 2-10)
3. Remove six screws to separate the hard disk drive from the metal shield. (Figure 2-11)
Figure 2-10 Remove HDD module
Figure 2-11 Disassemble the hard disk
Reassembly
1. To install the hard disk drive, place it in the bracket and secure with six screws.
2. Slide the HDD module into the compartment and secure with one screw.
51
8640 N/B Maintenance
2.2.5 CD/DVD-ROM Drive
Disassembly
1. Carefully put the notebook upside down. Remove the battery pack. (See section 2.2.1 Disassembly)
2. Remove Three screw fastening the CD/DVD-ROM drive. (Figure 2-12)
3. Insert a small rod, such as a straightened paper clip, into CD/DVD-ROM drive’s manual eject hole and push firmly
to release the tray. Then gently pull out the CD/DVD-ROM drive by holding the tray that pops out. (Figure 2-13)
Figure 2-12 Remove three screw
Figure 2-13 Remove the CD/DVD-ROM drive
Reassembly
1. Push the CD/DVD-ROM drive into the compartment and secure with three screws..
2. Replace the battery pack. (See section 2.2.1 Reassembly)
52
8640 N/B Maintenance
2.2.6 SO-DIMM
Disassembly
1. Carefully put the notebook upside down. Remove the battery pack. (See section 2.2.1 Disassembly)
2. Remove the keyboard cover and keyboard. (See section 2.2.2 Disassembly)
3. Full the retaining clips outwards () and remove the SO-DIMM (). (Figure 2-15)
Figure 2-14 Remove the SO-DIMM cover
Figure 2-15 Remove the SO-DIMM
Reassembly
1. To install the SO-DIMM, match the SO-DIMM’s notched part with the socket’s projected part and firmly
insert the OS-DIMM into the socket at 20-degree angle. Then push down until the retaining clips lock the
SO-DIMM into cover.
2. Replace the SO-DIMM cover.
3. Replace the keyboard, battery pack. (See section 2.2.2 and 2.2.1 Reassembly).
53
8640 N/B Maintenance
2.2.7 Wireless Card
Disassembly
Complete the steps in Section 2.2 to prepare the system for disassembly.
1. Remove the battery pack. (See section 2.2.1 Disassembly)
2. Remove eight screws fastening the mini PCI cover. (Figure 2-16)
3. Remove the antenna and disconnect the one cable connecting the wireless card. (Figure 2-17)
1
2
Figure 2-16 Disconnect the cable
Figure 2-17 Remove the antenna
4. Pull the retaining clips outwards () and remove the wireless card (). (Figure 2-17)
54
8640 N/B Maintenance
Reassembly
1. To install the wireless card, match the wireless card’s notched part with the socket’s projected part and firmly
insert the card into the socket. Then push down until the retaining clips lock the card into the socket.
2. Attach the antenna and reconnect the cable to the wireless card.
3. Replace the cover and secure with eight screws.
4. Replace the battery pack. (See section 2.2.1Reassembly)
55
8640 N/B Maintenance
2.2.8 LCD ASSY
Disassembly
1. Remove the battery pack, keyboard and heatsink. (See section 2.2.1 to 2.2.3 Disassembly)
2. Remove the two hinge covers. (Figure 2-18)
3. Disconnect the LCD cables from the system board, and remove four screws of the hinges (). Now Pull out the
antenna from the compartment (). (Figure 2-19)
1
2
Figure 2-18 Remove the antenna
Figure 2-19 Remove the LCD Assy
56
8640 N/B Maintenance
Reassembly
1. Attach the LCD assembly to the base unit and secure with four screws on the hinges.
2. Reconnect the two cables to the system board.
3. Replace the antenna to the wireless card socket.
4. Replace two hinge cover.
5. Replace the heatsink, keyboard and battery pack. (See section 2.2.3 to 2.2.1Reassembly)
57
8640 N/B Maintenance
2.2.9 Inverter Board
Disassembly
1. Remove the LCD assembly. (See section 2.2.8 Disassembly)
2. Detach the LCD cover.
3. To remove the inverter board on the lower part of the LCD housing , remove the two screws and disconnect two
cables. (figure 2-22)
Figure 2-20 Remove LCD cover
Figure 2-21 Remove the inverter board
Reassembly
1. Reconnect the cables. Fit the inverter board back into place and secure with two screw.
2. Replace the LCD cover.
3. Replace the LCD assembly. (See section 2.2.9 Reassembly)
58
8640 N/B Maintenance
2.2.10 LCD Panel
Disassembly
1. Remove the battery pack, keyboard, heatsink and LCD assembly. (See section 2.2.1 to 2.2.3 and 2.2.8 Disassembly)
2. Remove the four rubber pads and four screws on the lower part of the panel. (figure 2-20)
3. Insert a flat screwdriver to the lower part of the LCD cover and gently pry the cover out. Repeat the process until
the cover is completely separated from the housing.
4. Remove the six screws on two sides and four screws on the lower part of the LCD panel.
Figure 2-22 Remove LCD panel
5. Remove the inverter board. (See section 2.2.9 Disassembly)
59
8640 N/B Maintenance
Reassembly
1. Fit the LCD panel back into place and secure with ten screws, and reconnect the cables to the inverter board,and
replace two screws .
2. Fit the LCD cover back into the housing and replace the four screws and four rubber pads.
3. Replace the LCD assembly, heatsink, keyboard, battery pack. (See section 2.2.8 and 2.2.3 to 2.2.1Reassembly)
60
8640 N/B Maintenance
2.2.11 System Board
Disassembly
1. Remove the battery pack, keyboard, CPU, HDD module, CD/DVD-ROM drive and LCD assembly. (See section
2.2.1 to 2.2.5 and 2.2.8 Disassembly)
2. Remove thirteen screws on the bottom of the notebook. (Figure 2-23)
3. Remove eleven screws fastening the top cover and the easy start / LED board. Then detach the top cover.
(Figure 2-24)
Figure 2-23 Remove the bottom
Figure 2-24 Remove nine screws
61
8640 N/B Maintenance
4. Turn the four hex nuts and one screw to left to unscrew it completely. (figure 2-25)
5. Carefully put the notebook upside down.
Figure 2-25 Remove the base unit cover
Figure 2-26 Remove the metal shield
6. Remove three screws on the bottom of the notebook. Then lift the housing up. (Figure 2-26)
62
8640 N/B Maintenance
7. Remove four screws fastening the system board on the top shielding . Now you can remove the system board.
(Figure 2-27)
Figure 2-27 Remove the system board
Reassembly
1. Replace the system board on the top shielding and secure with four screws.
2. Replace the housing and secure three screws on the bottom of the notebook.
3. Fasten the housing by four hex nuts and one screw on the rear of the notebook.
4. Replace the top cover and secure with thirteen screws on the bottom of the notebook.
5. Turn over the notebook. and turn the eleven screws to the right to tighten the top cover.
6. Replace the LCD Assy, CD/DVD-ROM, HDD, keyboard and battery pack. (See section 2.2.8, 2.2.5, 2.2.4, 2.2.2,
2.2.1Reassembly)
63
8640 N/B Maintenance
2.2.12 Card Reader
Disassembly
1. Remove the battery pack, keyboard, HDD, CD/DVD-ROM, LCD Assy. (See section 2.2.1, 2.2.2, 2.2.4, 2.2.5, 2.2.8
disassembly)
2. Remove the top cover. (See steps 1-3 in section 2.2.11 Disassembly.)
3. Disconnect the cable from the top mother board. (Figure 2-28)
4. Remove the four screws to lift up the card reader. (Figure 2-28)
Figure 2-28 Remove the card reader
Reassembly
1. Replace the card reader and reconnect the cable to the top mother board.
2. Fasten the card reader by four screws.
3. Assemble the top cover. (See section 2.2.11 Reassembly)
4. Replace the LCD Assy, CD/DVD-ROM, HDD, keyboard and battery. (See section 2.2.8, 2.2.5, 2.2.4, 2.2.2, 2.2.1
Reassembly)
64
8640 N/B Maintenance
2.2.13 Touch Pad Board
Disassembly
1. Remove the battery pack, keyboard, HDD, CD/DVD-ROM, LCD Assy. (See section 2.2.1, 2.2.2, 2.2.4, 2.2.5, 2.2.8
disassembly)
2. Remove the top cover. (See steps 1-3 in section 2.2.11 Disassembly)
3. Disconnect the three cables from the top mother board. (Figure 2-29)
4. Remove the six screws to lift up the top mother board and touch pad panel. (Figure 2-29)
Figure 2-29 Remove the top mother board
Reassembly
1. Replace the top mother board and touch-pad panel, and secure with six screws.
2. Reconnect the three cables to the board.
3. Assemble the top cover. (See section 2.2.11 Reassembly)
4. Replace the LCD Assy, CD/DVD-ROM, HDD, keyboard and battery. (See section 2.2.8, 2.2.5, 2.2.4, 2.2.2, 2.2.1
Reassembly)
65
8640 N/B Maintenance
3. Definition&Location Of Connectors/Switches Setting
3.1 Main Board ( Side A )
J5
J6
J3
J7
J11
J8
J9
J10 J2
J4
J13
J1
U4
J8
J14
J15
J24
CPU Socket
J16
J17
J22
J21
PJ1
J509
J23
J1 Power Jack
J6 VGA Connector
J16 HDD Connector
J23 Battery Connector
J2 1394 connector
J7.8.9.10 USB Connector
J17 Modem Connector
U8
J3 TV Out Connector
J11. 13 LCD Connector
J18. J24 fan Connector
J4 LAN Connector
J14 Button B’D Connect
J21 Internal K/B Connector
J5 Parallel Port
J15 PS2 Connector
J22 memory Connector
Pentium4 Socket
66
8640 N/B Maintenance
3. Definition&Location Of Connectors/Switches Setting
3.1 Main Board ( Side B )
J501
J504
J503
J505
BT1
U6 U5 U3
J502
J509
J501 System Fan connector
J504 Extend Memory Socket
J502 Wireless Card link Connector
J505 AC-link MDC Jump Wire Connector
J503 Mini-PCI connector
67
8640 N/B Maintenance
3. Definition&Location Of Connectors/Switches Setting
3.2 Touch Pad Board ( Side A)
PJ501
J501 Touch Pad Connector
J501
J502 CD-ROM Connector
J503 Left Internal Speaker Connector
J504 Line Out /SPDIF Phone Jack
J507
J505 External Micro Phone Jack
J502
J506 Right internal Speaker Connector
J507 Card Reader connector
J505
J504
J503
J506
PJ501 BTB Connector
68
8640 N/B Maintenance
4. Definition & Location of Major Components
4.1 Main Board ( Side A )
U6
U8
U9
PU9
J509
U6 SIS 651 North bridge control
PU9 LTC3716 CPU Power Control
U9 nVIDA Graphics Control
69
8640 N/B Maintenance
4. Definition & Location of Major Components
4.2 Main Board ( Side B )
U509
U508
U512
U510
U513
U515
U6 U5 U3
U518
U519
BT501
J509
U508 LAN Control
U512 LPC Super I/O
U518 Memory Clock Control
U509 IEEE1394 Control
U513 System BIOS
U519 K/B Bios control
U510 System Clock Control
U515 SIS962 South bridge
BT501 CMOS Battery
70
8640 N/B Maintenance
4. Definition & Location of Major Components
4.3 Touch Pad Board ( Side A,B )
Side A
Side B
VR1
U2
U502
U8
J505
U5
J504
SW2
SW3
U6
VR1
U2 Card Reader Control
U6 Audio Amplifier
U502 Card Reader BIOS
U5 Audio Control
U8 Card Reader BIOS
VR1 Volume Control
71
8640 N/B Maintenance
5. Pin Descriptions of Major Components
5.1 Pentium 4(Willamette/Northwood) mFC-PGA2 478 pins
Type
Description
A[35:3]#
Name
Input/
Output
A20M#
Input
A[35:3]# (Address) define a 2 36 -byte physical memory address
space. In sub-phase 1 of the address phase, these pins transmit the
address of a transaction. In sub-phase 2, these pins transmit
transaction type information. These signals
must connect the appropriate pins of all agents on the Pentium 4
processor in the 478-pin package system bus. A[35:3]# are
protected by parity signals AP[1:0]#. A[35:3]# are source
synchronous signals and are latched into the receiving buffers by
ADSTB[1:0]#. On the active-to-inactive transition of RESET#, the
processor samples a subset of the A[35:3]# pins to determine
power-on configuration. See Section 7.1 for more details.
If A20M# (Address-20 Mask) is asserted, the processor masks
physical address bit 20 (A20#) before looking up a line in any
internal cache and before driving a read/write transaction on the
bus. Asserting A20M# emulates the 8086 processor's address
wrap-around at the 1-Mbyte boundary. Assertion of A20M# is only
supported in real mode.
A20M# is an asynchronous signal. However, to ensure recognition
of this signal following an Input/Output write instruction, it must be
valid along with the TRDY# assertion of the corresponding
Input/Output Write bus transaction.
ADS# (Address Strobe) is asserted to indicate the validity of the
transaction address on the A[35:3]# and REQ[4:0]# pins. All bus
agents observe the ADS# activation to begin parity checking,
protocol checking, address decode, internal snoop, or deferred reply
ID match operations associated with the new transaction.
Address strobes are used to latch A[35:3]# and REQ[4:0]# on their
rising and falling edges. Strobes are associated with signals as
shown below.
ADS#
Input/
Output
ADSTB[1:0]#
Input/
Output
Signals
Associated Strobe
REQ[4:0]#, A[16:3]#
A[35:17]#
ADSTB0#
ADSTB1#
Name
AP[1:0]#
BCLK[1:0]
BINIT#
BNR#
Type
Description
Input/
Output
AP[1:0]# (Address Parity) are driven by the request initiator along
with ADS#,A[35:3]#, and the transaction type on the REQ[4:0]#. A
correct parity signal is high if an even number of covered signals
are low and low if an odd number of covered signals are low. This
allows parity to be high when all the covered signals are high.
AP[1:0]# should connect the appropriate pins of all Pentium 4
processor in the 478-pin package system bus agents. The following
table defines
Request Signals
subphase 1
subphase 2
A[35:24]#
A[23:3]#
REQ[4:0]#
AP0#
AP1#
AP1#
AP1#
AP0#
AP0#
Input
The differential pair BCLK (Bus Clock) determines the system bus
frequency. All processor system bus agents must receive these
signals to drive their outputs and latch their inputs.
All external timing parameters are specified with respect to the
rising edge of BCLK0 crossing V CROSS .
Input/ BINIT# (Bus Initialization) may be observed and driven by all
Output processor system bus agents and if used, must connect the
appropriate pins of all such agents. If the BINIT# driver is enabled
during power-on configuration, BINIT# is asserted
to signal any bus condition that prevents reliable future operation.
If BINIT# observation is enabled during power-on configuration,
and BINIT# is sampled asserted, symmetric agents reset their bus
LOCK# activity and bus request arbitration state machines. The bus
agents do not reset their IOQ and transaction tracking state
machines upon observation of BINIT# activation. Once the BINIT#
assertion has been observed, the bus agents will re-arbitrate for the
system bus and attempt completion of their bus queue and IOQ
entries.
If BINIT# observation is disabled during power-on configuration, a
central agent may handle an assertion of BINIT# as appropriate to
the error handling architecture of the system.
BNR# (Block Next Request) is used to assert a bus stall by any bus
Input/
agent who is unable to accept new bus transactions. During a bus
Output
stall, the current bus owner cannot issue any new transactions.
72
8640 N/B Maintenance
5.1 Pentium 4(Willamette/Northwood) mFC-PGA2 478 pins
Name
BPM[5:0]#
BPRI#
BR0#
BSEL[1:0]
COMP[1:0]
Type
Description
BPM[5:0]# (Breakpoint Monitor) are breakpoint and performance
monitor signals. They are outputs from the processor which indicate
the status of breakpoints and programmable counters used for
monitoring processor performance. BPM[5:0]# should connect the
appropriate pins of all Pentium 4 processor in the 478-pin package
system bus agents.
BPM4# provides PRDY# (Probe Ready) functionality for the TAP
port. PRDY# is a processor output used by debug tools to determine
processor debug readiness.
BPM5# provides PREQ# (Probe Request) functionality for the TAP
port. PREQ# is used by debug tools to request debug operation of
the processor. Please refer to the Intel® Pentium® 4 Processor in
the 478-pin Package and Intel® 850 Chipset Platform Design
Guide for more detailed information.
These signals do not have on-die termination. Refer to Section
2.5, the Intel® Pentium® 4 Processor in the 478-pin Package and
Intel® 850 Chipset Platform Design Guide for termination
requirements.
Input BPRI# (Bus Priority Request) is used to arbitrate for ownership of
the processor system bus. It must connect the appropriate pins of all
processor system bus agents. Observing BPRI# active (as asserted
by the priority agent) causes all other agents to stop issuing new
requests, unless such requests are part of an ongoing locked
operation. The priority agent keeps BPRI# asserted until all of its
requests are completed, then releases the bus by deasserting BPRI#.
Input/ BR0# drives the BREQ0# signal in the system and is used by the
Output processor to request the bus. During power-on configuration this pin
is sampled to determine the agent ID = 0.
This signal does not have on-die termination and must be
terminated.
The BCLK[1:0] frequency select signals BSEL[1:0] are used to
select the processor input clock frequency. Table 4 defines the
possible combinations of the signals and the frequency associated
with each combination. The required frequency is determined by the
processor, chipset and clock synthesizer. All agents must operate at
Output
the same frequency. The Pentium 4 processor in the 478-pin
package operates currently at a 400 MHz system bus frequency
(100 MHz BCLK[1:0] frequency). For more information about
these pins, including termination recommendations refer to Section
2.9 and the appropriate platformdesign guidelines.
Analog COMP[1:0] must be terminated on the system board using precision
resistors. Refer to the Intel® Pentium® 4 Processor in the 478-pin
Package and Intel® 850 Chipset Platform Design Guide for details
on implementation.
Name
Type
Input/
Output
D[63:0]#
Input/
Output
Description
D[63:0]# (Data) are the data signals. These signals provide a 64-bit
data path between the processor system bus agents, and must
connect the appropriate pins on all such agents. The data driver
asserts DRDY# to indicate a valid data transfer.
D[63:0]# are quad-pumped signals and will thus be driven four
times in a common clock period. D[63:0]# are latched off the falling
edge of both DSTBP[3:0]# and DSTBN[3:0]#. Each group of 16
data signals correspond to a pair of one DSTBP# and one DSTBN#.
The following table shows the grouping of data signals to data
strobes and DBI#.
Quad-Pumped Signal Groups
Data Group
D[15:0]#
D[31:16]#
D[47:32]#
D[63:48]#
DSTBN#/
DSTBP#
0
1
2
3
DBI#
0
1
2
3
Furthermore, the DBI# pins determine the polarity of the data
signals. Each group of 16 data signals corresponds to one DBI#
signal. When the DBI# signal is active, the corresponding data
group is inverted and therefore sampled active high.
DBI[3:0]# are source synchronous and indicate the polarity of the
D[63:0]# signals. The DBI[3:0]# signals are activated when the data
on the data bus is inverted. The bus agent will invert the data bus
signals if more than half the bits, within the covered group, would
change level in the next cycle.
DBI[3:0] Assignment To Data Bus
DBI[3:0]#
DBR#
Input/
Output
Output
Bus Signal
Data Bus Signals
DBI3#
DBI2#
DBI1#
DBI0#
D[63:48]#
D[47:32]#
D[31:16]#
D[15:0]#
DBR# is used only in processor systems where no debug port is
implemented on the system board. DBR# is used by a debug port
interposer so that an in-target probe can drive system reset. If a
debug port is implemented in the system, DBR# is a no connect in
the system. DBR# is not a processor signal.
73
8640 N/B Maintenance
5.1 Pentium 4(Willamette/Northwood) mFC-PGA2 478 pins
Name
Type
DBSY#
Input/
Output
DEFER#
Input
DP[3:0]#
Input/
Output
DSTBN[3:0]#
Input/
Output
Description
DBSY# (Data Bus Busy) is asserted by the agent responsible for
driving data on the processor system bus to indicate that the data
bus is in use. The data bus isreleased after DBSY# is deasserted.
This signal must connect the appropriate pins on all processor
system bus agents.
DEFER# is asserted by an agent to indicate that a transaction
cannot be guaranteed in-order completion. Assertion of
DEFER# is normally the responsibility of the addressed
memory or Input/Output agent. This signal must connect the
appropriate pins of all processor system bus agents.
DP[3:0]# (Data parity) provide parity protection for the
D[63:0]# signals. They are driven by the agent responsible for
driving D[63:0]#, and must connect the appropriate pins of all
Pentium 4 processor in the 478-pin package system bus gents.
Data strobe used to latch in D[63:0]#.
Signals
D[15:0]#, DBI0#
D[31:16]#, DBI1#
D[47:32]#, DBI2#
D[63:48]#, DBI3#
DSTBP[3:0]#
Input/
Output
GTLREF
Output
Input
HITM#
IERR#
IGNNE#
Associated Strobe
DSTBN0#
DSTBN1#
DSTBN2#
DSTBN3#
Data strobe used to latch in D[63:0]#.
Signals
D[15:0]#, DBI0#
D[31:16]#, DBI1#
D[47:32]#, DBI2#
D[63:48]#, DBI3#
FERR#
Name
HIT#
Associated Strobe
DSTBP0#
DSTBP1#
DSTBP2#
DSTBP3#
FERR# (Floating-point Error) is asserted when the processor
detects an unmasked floating-point error. FERR# is similar to
the ERROR# signal on the Intel 387 coprocessor, and is
included for compatibility with systems using MSDOS*-type
floating-point error reporting.
GTLREF determines the signal reference level for AGTL+ input
pins. GTLREF should be set at 2/3 V CC. GTLREF is used by the
AGTL+ receivers to determine if a signal is a logical 0 or
logical 1. Refer to the Intel® Pentium® 4 Processor in the
478-pin Package and Intel® 850 Chipset Platform Design
Guide for more information.
INIT#
ITPCLKOUT[1:0]
ITP_CLK[1:0]
Type
Description
Input/ HIT# (Snoop Hit) and HITM# (Hit Modified) convey
Output transaction snoop operation results. Any system bus agent may
assert both HIT# and HITM# together to indicate that it requires
Input/ a snoop stall, which can be continued by reasserting
Output HIT# and HITM# together.
Output IERR# (Internal Error) is asserted by a processor as the result of
an internal error. Assertion of IERR# is usually accompanied by
a SHUTDOWN transaction on the processor system bus. This
transaction may optionally be converted to an external error
signal (e.g., NMI) by system core logic. The processor will keep
IERR# asserted until the assertion of RESET#, BINIT#, or
INIT#.
This signals does not have on-die termination. Refer to
Section 2.5 fortermination requirements.
Input IGNNE# (Ignore Numeric Error) is asserted to force the
processor to ignore a numeric error and continue to execute
noncontrol floating-point instructions. If IGNNE# is deasserted,
the processor generates an exception on a noncontrol
floating-point instruction if a previous floating-point instruction
caused an error.IGNNE# has no effect when the NE bit in
control register 0 (CR0) is set. IGNNE# is an asynchronous
signal. However, to ensure recognition of this signal following
an Input/Output write instruction, it must be valid along with the
TRDY# assertion of the corresponding Input/Output Write bus
transaction.
Input INIT# (Initialization), when asserted, resets integer registers
inside the processor without affecting its internal caches or
floating-point registers. The processor then begins execution at
the power-on Reset vector configured during power-on
configuration. The processor continues to handle snoop requests
during INIT# assertion. INIT# is an asynchronous signal and
must connect the appropriate pins of all processor system bus
agents. If INIT# is sampled active on the active to inactive
transition of RESET#, then the processor executes its Built-in
Self-Test (BIST).
Output The ITPCLKOUT[1:0] pins do not provide any output for the
Pentium® 4 processor in the 478-pin package. Refer to Section
2.5 for additional details and termination requirements.
Input ITP_CLK[1:0] are copies of BCLK that are used only in
processor systems where no debug port is implemented on the
system board. ITP_CLK[1:0] are used as BCLK[1:0] references
for a debug port implemented on an interposer. If a debug port
is implemented in the system, ITP_CLK[1:0] are no connects in
the system. These are not processor signals.
74
8640 N/B Maintenance
5.1 Pentium 4(Willamette/Northwood) mFC-PGA2 478 pins
Name
LINT[1:0]
LOCK#
MCERR#
PROCHOT#
Type
Input
Description
LINT[1:0] (Local APIC Interrupt) must connect the appropriate
pins of all APIC Bus agents. When the APIC is disabled, the
LINT0 signal becomes INTR, a maskable interrupt request
signal, and LINT1 becomes NMI, a nonmaskable interrupt.
INTR and NMI are backward compatible with the signals of
those names on the Pentium processor. Both signals are
asynchronous.
Both of these signals must be software configured via BIOS
programming of the APIC register space to be used either as
NMI/INTR or LINT[1:0]. Because the APIC is enabled by
default after Reset, operation of these pins as LINT[1:0] is the
default configuration.
Input/ LOCK# indicates to the system that a transaction must occur
Output atomically. This signal must connect the appropriate pins of all
processor system bus agents. For a locked sequence of
transactions, LOCK# is asserted from the beginning of the
first transaction to the end of the last transaction.
When the priority agent asserts BPRI# to arbitrate for ownership
of the processor system bus, it will wait until it observes
LOCK# deasserted. This enables symmetric agents to retain
ownership of the processor system bus throughout the bus
locked operation and ensure the atomicity of lock.
Input/ MCERR# (Machine Check Error) is asserted to indicate an
Output unrecoverable error without a bus protocol violation. It may be
driven by all processor system bus agents.
MCERR# assertion conditions are configurable at a system
level. Assertion options are defined by the following options:
Enabled or disabled.
Asserted, if configured, for internal errors along with IERR#.
Asserted, if configured, by the request initiator of a bus
transaction after it observes an error.
Asserted by any bus agent when it observes an error in a bus
transaction.
For more details regarding machine check architecture, please
refer to the IA-32 Software Developer’s Manual, Volume 3:
System Programming Guide.
Output PROCHOT# will go active when the processor temperature
monitoring sensor detects that the processor has reached its
maximum safe operating temperature.
This indicates that the processor Thermal Control Circuit has
been activated, if enabled. See Section 7.3 for more details.
Name
PWRGOOD
Type
Input
RESET#
Input
RS[2:0]#
Input
RSP#
Input
Description
PWRGOOD (Power Good) is a processor input. The processor
requires this signal to be a clean indication that the clocks and
power supplies are stable and within their specifications.
‘Clean’ implies that the signal will remain low (capable of
sinking leakage current), without glitches, from the time that the
power supplies are turned on until they come within
specification. The signal must then transition monotonically to a
high state. Figure 11 illustrates the relationship of PWRGOOD
to the RESET# signal. PWRGOOD can be driven inactive at
any time, but clocks and power must again be stable before a
subsequent rising edge of PWRGOOD. It must also meet the
minimum pulse width specification in Table 16, and be followed
by a 1 to 10 ms RESET# pulse. The PWRGOOD signal must be
supplied to the processor; it is used to protect internal circuits
against voltage sequencing issues. It should be driven high
throughout boundary scan operation.
Asserting the RESET# signal resets the processor to a known
state and invalidates its internal caches without writing back any
of their contents. For a power-on Reset, RESET# must stay
active for at least one millisecond after VCC and BCLK have
reached their proper specifications. On observing active
RESET#, all system bus agents will deassert their outputs within
two clocks. RESET# must not be kept asserted for more than 10
ms while PWRGOOD is asserted.
A number of bus signals are sampled at the active-to-inactive
transition of RESET# for power-on configuration. These
configuration options are described in the Section 7.1.
This signal does not have on-die termination and must be
terminated on the system board.
RS[2:0]# (Response Status) are driven by the response agent
(the agent responsible for completion of the current transaction),
and must connect the appropriate pins of all processor system
bus agents.
RSP# (Response Parity) is driven by the response agent (the
agent responsible for completion of the current transaction)
during assertion of RS[2:0]#, the signals for which RSP#
provides parity protection. It must connect to the appropriate
pins of all processor system bus agents.
A correct parity signal is high if an even number of covered
signals are low and low if an odd number of covered signals are
low. While RS[2:0]# = 000, RSP# is also high, since this
indicates it is not being driven by any agent guaranteeing
correct parity.
75
8640 N/B Maintenance
5.1 Pentium 4(Willamette/Northwood) mFC-PGA2 478 pins
Name
REQ[4:0]#
SKTOCC#
SLP#
SMI#
STPCLK#
TCK
Type
Description
Input/ REQ[4:0]# (Request Command) must connect the appropriate
Output pins of all processor system bus agents. They are asserted by the
current bus owner to define the currently active transaction type.
These signals are source synchronous to ADSTB0#. Refer to the
AP[1:0]# signal description for a details on parity checking of
these signals.
Output SKTOCC# (Socket Occupied) will be pulled to ground by the
processor. System board designers may use this pin to determine
if the processor is present.
Input
SLP# (Sleep), when asserted in Stop-Grant state, causes the
processor to enter the Sleep state. During Sleep state, the
processor stops providing internal clock signals to all units,
leaving only the Phase-Locked Loop (PLL) still operating.
Processors in this state will not recognize snoops or interrupts.
The processor will recognize only assertion of the RESET#
signal, deassertion of SLP#, and removal of the BCLK input
while in Sleep state. If SLP# is deasserted, the processor exits
Sleep state and returns to Stop-Grant state, restarting its internal
clock signals to the bus and processor core units. If the BCLK
input is stopped while in the Sleep state the processor will exit
the Sleep state and transition to the Deep Sleep state.
Input
SMI# (System Management Interrupt) is asserted
asynchronously by system logic. On accepting a System
Management Interrupt, the processor saves the current state and
enter System Management Mode (SMM). An SMI
Acknowledge transaction is issued, and the processor begins
program execution from the SMM handler.
If SMI# is asserted during the deassertion of RESET# the
processor will tristate its outputs.
Input
STPCLK# (Stop Clock), when asserted, causes the processor to
enter a low power Stop-Grant state. The processor issues a
Stop-Grant Acknowledge transaction, and stops providing
internal clock signals to all processor core units except the
system bus and APIC units. The processor continues to snoop
bus transactions and service interrupts while in Stop-Grant state.
When STPCLK# is deasserted, the processor restarts its internal
clock to all units and resumes execution. The assertion of
STPCLK# has no effect on the bus clock; STPCLK# is an
asynchronous input.
Input
TCK (Test Clock) provides the clock input for the processor
Test Bus (also knownas the Test Access Port).
Name
THERMDA
Description
TDI (Test Data In) transfers serial test data into the processor.
TDI provides the serial input needed for JTAG specification
support.
Output TDO (Test Data Out) transfers serial test data out of the
processor. TDO provides the serial output needed for JTAG
specification support.
Input
TESTHI[12:8] and TESTHI[5:0] must be connected to a VCC
power source through a resistor for proper processor operation.
See Section 2.5 for more details.
Other Thermal Diode Anode. See Section 7.3.1.
THERMDC
Other
THERMTRIP#
Output Assertion of THERMTRIP# (Thermal Trip) indicates the
processor junction temperature has reached a level beyond
which permanent silicon damage may occur. Measurement of
the temperature is accomplished through an internal thermal
sensor which is configured to trip at approximately 135°C.Upon
assertion of THERMTRIP#, the processor will shut off its
internal clocks (thus halting program execution) in an attempt to
reduce the processor junction temperature. To protect the
processor, its core voltage (VCC) must be removed following
the assertion of THERMTRIP#. See Figure 12 and Table 16 for
the appropriate power down sequence and timing requirements.
Once activated, THERMTRIP# remains latched until RESET#
is asserted. While the assertion of the RESET# signal will
de-assert THERMTRIP# , if the processor’s junction
temperature remains at or above the trip level, THERMTRIP#
will again be asserted after RESET# is de-asserted.
Input
TMS (Test Mode Select) is a JTAG specification support signal
used by debug tools.
Input
TRDY# (Target Ready) is asserted by the target to indicate that
it is ready to receive a write or implicit writeback data transfer.
TRDY# must connect the appropriate pins of all system bus
agents.
Input
TRST# (Test Reset) resets the Test Access Port (TAP) logic.
TRST# must be driven low during power on Reset. This can be
done with a 680 . pull-down resistor.
Input
VCCA provides isolated power for the internal processor core
PLLs. Refer to the Intel® Pentium® 4 Processor in the 478-pin
Package and Intel® 850 Chipset Platform Design Guide for
complete implementation details.
TDI
TDO
TESTHI[12:8]
TESTHI[5:0]
TMS
TRDY#
TRST#
VCCA
Type
Input
Thermal Diode Cathode. See Section 7.3.1.
76
8640 N/B Maintenance
5.1 Pentium 4(Willamette/Northwood) mFC-PGA2 478 pins
Name
VCCIOPLL
VCCSENSE
VCCVID
VID[4:0]
VSSA
VSSSENSE
TMS
TRDY#
TRST#
VCCA
Type
Input
Description
VCCIOPLL provides isolated power for internal processor system
bus PLLs. Follow he guidelines for VCCA, and refer to the Intel®
Pentium® 4 Processor in the 478-pin Package and Intel® 850
Chipset Platform Design Guide for complete implementation
details.
Output VCCSENSE is an isolated low impedance connection to processor
core power(VCC). It can be used to sense or measure power near
the silicon with little noise.
Input
There is no imput voltage requirement for VCCVID for designs
intended tosupport only the Pentium 4 processor in the 478-pin
package. Refer to the Intel® Pentium® 4 Processor in the
478-pin Package and Intel® 850 Chipset Platform Design
Guide for more information.
Output VID[4:0] (Voltage ID) pins can be used to support automatic
selection of power supply voltages (Vcc). These pins are not
signals, but are either an open circuit or a short circuit to VSS
on the processor. The combination of opens and shorts
defines the voltage required by the processor. The VID pins are
needed to cleanly support processor voltage specification
variations. See Table 2 for definitions of these pins. The power
supply must supply the voltage that is requested by these pins,
or disable itself.
Input
VSSA is the isolated ground for internal PLLs.
Output VSSSENSE is an isolated low impedance connection to processor
core VSS. It can be used to sense or measure ground near the
silicon with little noise
Input
TMS (Test Mode Select) is a JTAG specification support signal
used by debug tools.
Input
TRDY# (Target Ready) is asserted by the target to indicate that
it is ready to receive a write or implicit writeback data transfer.
TRDY# must connect the appropriate pins of all system bus
agents.
Input
TRST# (Test Reset) resets the Test Access Port (TAP) logic.
TRST# must be driven low during power on Reset. This can be
done with a 680 . pull-down resistor.
Input
VCCA provides isolated power for the internal processor core
PLLs. Refer to the Intel® Pentium® 4 Processor in the 478-pin
Package and Intel® 850 Chipset Platform Design Guide for
complete implementation details.
77
8640 N/B Maintenance
5.2 SIS650 (IGUI Host Memory Controller )
Host BUS Interface Continue
Host BUS Interface
Name
RS[2:0]#
Pin Attr
O
1.2~1.85V – M
HTRDY#
O
1.2~1.85V – M
DRDY#
I/O
1.2~1.85V – M
DBSY#
I/O
1.2~1.85V – M
HD[63:0]#
I/O
1.2~1.85V – M
DBI[3:0]#
I/O
1.2~1.85V – M
HDSTBP[3:0]#
HDSTBN[3:0]#
HNCOMP
I/O
1.2~1.85V – M
I/O
1.2~1.85V– M
I
M
Name
Signal Description
Response Status:
RS[2:0]# are driven by the response agent to indicate the
transaction response type. The following shows the response
type.
RS[2:0]
Response
000
Idle State
001
Retry
010
Defer
011
Reserved
100
Reserved
101
No data
110
Implicit Write-back
111
Normal Data
Target Ready:
During write cycles, response agent will drive TRDY# to
indicate it is ready to accept data.
Data Ready:
DRDY# is driven by the bus owner whenever the data is valid
on the bus.
Data Bus Busy:
Whenever the data is not valid on the bus with DRDY# is
deserted, DBSY# deasserted to hold the bus.
Data Bus Busy:
Whenever the data is not valid on the bus with DRDY# is
deserted, DBSY# deasserted to hold the bus.
Dynamic Bus Inversion: An active DBI# will invert
it’s corresponding data group signals.
DBI0# is referenced by HD[15:0],
DBI1# is referenced by HD[31:16]
DBI2# is referenced by HD[47:32]
DBI3# is referenced by HD[63:48]
Source synchronous data strobe used to latch data at falling edge
HD[15:0], DBI0# are latched by HDSTBP0#
HD[31:16], DBI1# are latched by HDSTBP1#
HD[47:32], DBI2# are latched by HDSTBP2#
HD[63:48], DBI3# are latched by HDSTBP3#
Source synchronous data strobe used to latch data at falling edge
HD[15:0], DBI0# are latched by HDSTBN0#
HD[31:16], DBI1# are latched by HDSTBN1#
HD[47:32], DBI2# are latched by HDSTBN2#
HD[63:48], DBI3# are latched by HDSTBN3#
GTL N-MOS Compensation Input
Pin Attr
CPUCLK
CPUCLK#
CPURST#
I
0.71V – M
O
1.2~1.85V – M
CPUPWRGD#
O
1.2~1.85V – M
I/O
1.2~1.85V – M
ADS#
HADSTB[1:0]#
1.2~1.85V – M
HREQ[4:0]#
I/O
1.2~1.85V – M
HA[31:3]#
I/O
1.2~1.85V – M
O
1.2~1.85V – M
BREQ0#
BPRI#
O
1.2~1.85V – M
BNR#
I/O
1.2~1.85V – M
HLOCK#
I
1.2~1.85V – M
HIT#
I/O
1.2~1.85V – M
I/O
1.2~1.85V – M
HITM#
DEFER#
O
1.2~1.85V – M
Signal Description
Host differential clock input.
Host Bus Reset:
CPURST# is used to keep all the bus agents in
the same initial state before valid cycles issued.
CPUPWRGD# is used to inform CPU that main power is stable
Address Strobe :
Address Strobe is driven by CPU or SiS650 to indicate the start
of a CPU bus cycle.
Source synchronous address strobe used to latch
HREQ[4:0]# & HA[31:3]# at both falling and rising edge.
HREQ[4:0]# & HA[16:3]# are latched by
HASTB0#
HA[31:17] are latched by HASTB1#
Request Command:
HREQ[4:0]# are used to define each transaction type during the
clock when ADS# is asserted and the clock after ADS# is
asserted.
Host Address Bus
Symmetric Agent Bus Request:
BREQ0# is driven by the symmetric agent to request for the
bus.
Priority Agent Bus Request:
BPRI# is driven by the priority agent that wants to request the
bus.
BPRI# has higher priority than BREQ0# to access a bus.
Block Next Request:
This signal can be driven asserted by any bus agent to block
further requests being pipelined.
Host Lock :
CPU asserts HLOCK# to indicate the current bus cycle is
locked.
Keeping a Non-Modified Cache Line
Hits a Modified Cache Line:
Hit Modified indicates the snoop cycle hits a modified line in
the L1/L2 cache of CPU.
Defer Transaction Completion:
r defer response to host bus.
78
8640 N/B Maintenance
5.2 SIS650 (IGUI Host Memory Controller )
Power and Ground Signals
Host BUS Interface Continue
Name
HPCOMP
Pi n Attr
I
M
HVREF[4:0]
I
HNCOMPVREF M
Signal Description
GT L P-MOS Compensation Input
AGT L+ I/O reference voltage
DRAM Controller
Name
SDCLK
Pin Attr
I
3.3V - M
SDRCLKI
I
2.5V/3.3V - M
FWDSDCLKO O
2.5V/3.3V – M
MA[14:0]
O
2.5V/3.3V - M
SRAS#
O
2.5V/3.3V - M
SCAS#
O
2.5V/3.3V - M
SWE#
O
2.5V/3.3V - M
CS[5:0]#
O
CSB[5:0]#
2.5V/3.3V - M
DQM[7:0]#
O
2.5V/3.3V - M
DQS[7:0]
I/O
2.5V/3.3V - M
MD[63:0]
I/O
2.5V/3.3V - M
CKE[5:0]
O (open-drain)
2.5V/3.3V –
AUX
S3AUXSW#
O (open-drain)
(CKE6)
2.5V/3.3V AUX
DDRVREF[A:B] I
M
Signal Description
SDRAM Clock Input
Name
C4XAVDD
3.3V
Tole rance
Powe r Plane
MAIN
Type Attribute
Analog
C4XAVSS
0V
GROUND
Analog
DACAVDD1
1.8V
MAIN
Analog
DACAVDD2
1.8V
MAIN
Analog
DACAVSS1
0V
GROUND
Analog
DACAVSS2
0V
GROUND
Digital
DCLKAVDD
3.3V
MAIN
Digital
DCLKAVSS
0V
GROUND
Analog
DDRAVDD
3.3V
MAIN
Analog
DDRAVSS
0V
GROUND
Analog
SDRAM Read Clock Input
ECLKAVDD
3.3
MAIN
Analog
SDRAM Forward Clock Output
ECLKAVSS
0V
GROUND
Analog
IVDD
1.8V
MAIN
Digital
System Memory Address Bus
OVDD
3.3V
MAIN
Digital
SDRAM Row Address Strobe
PVDD
3.3V
MAIN
Digital
SDRAM Column Address Strobe
PVDDM
3.3V
AUX
Digital
PVDDP
1.8V
MAIN
Digital
PVDDZ
1.8V
MAIN
Digital
SDAVDD
3.3V
MAIN
Analog
SDAVSS
0V
GROUND
Analog
VDDM
2.5/3.3V
MAIN(AUX)
Digital
VDDQ
1.5/1.8/3.3V
MAIN
Digitalv
VDDZ
1.8V
MAIN
Digital
VDDMCMP
1.8V
MAIN
Analog
VT T
1.2~1.85V
MAIN
Digital
Z1XAVDD
3.3V
MAIN
Analog
Z1XAVSS
0V
GROUND
Analog
Z4XAVDD
Z4XAVSS
3.3V
0V
MAIN
GROUND
Analog
Analog
SDRAM Write Enable
SDRAM Chip Select
CSB[5:0] multiplexed with DQS[5:0]
SDRAM Input/Output Data Mask
DDR Data Strobe
System Memory Data Bus
SDRAM Clock Enable
Aux power switch for ACPI-S3 state, low active.
DDR I/O Reference Voltage
79
8640 N/B Maintenance
5.2 SIS650 (IGUI Host Memory Controller )
SiS MuTIOL Interface
Power and Ground Signals Continue
Name
Tolerance
Power Plane
Type Attribute
A1XAVDD
3.3V
MAIN
Analog
A1XAVSS
0V
GROUND
Analog
A4XAVDD
3.3V
MAIN
Analog
A4XAVSS
0V
GROUND
Analog
AGPVSSREF
0V
GROUND
Analog
AUX1.8
1.8V
AUX
Digital
AUX3.3
3.3V
AUX
Digital
C1XAVDD
3.3V
MAIN
Analog
C1XAVSS
0V
GROUND
Analog
VGA Interface
Name
VOSCI
HSYNC
VSYNC
INTA#
VGPIO[1:0]
VCOMP
VRSET
VVBWN
ROUT
GOUT
BOUT
Pin Attr
I
3.3V - M
O
3.3V - M
O
3.3V - M
O
3.3V - M
I/O
3.3V - M
AI
Analog - M
AI
Analog - M
AI
Analog - M
AO
Analog - M
AO
Analog - M
AO
Analog - M
Signal Description
14.318 Reference Clock Input
Horizontal Sync
Vertical Sync
Internal VGA Interrupt Pin
Internal VGA GPIO pins
Compensation Pin
Reference Resistor
Voltage Reference
Red Signal Output
Green Signal Output
Blue Signal Output
Signal Name
ZCLK
Pin Attr
I
3.3V-M
ZUREQ/ZQREQ I/O
1.8-M
ZSTB[1:0]
I/O
1.8-M
ZSTB[1:0]#
I/O
1.8-M
ZAD[15:0]
I/O
1.8-M
ZVREF
I
M
ZCMP_N
I
M
ZCMP_N
I
M
AGPCLK
I
3.3V – M
AFRAME#
I/O
1.5V/3.3V - M
AIRDY#
I/O
1.5V/3.3V - M
ATRDY#
I/O
1.5V/3.3V - M
ASTOP#
I/O
1.5V/3.3V - M
ADEVSEL#
I/O
1.5V/3.3V - M
ASERR#
I/O
1.5V/3.3V - M
AREQ#
I/O
1.5V/3.3V - M
AGNT#
I/O
1.5V/3.3V - M
AAD[31:0]
I/O
1.5V/3.3V - M
AC/BE[3:0
I/O
1.5V/3.3V - M
APAR
I/O
1.5V/3.3V - M
ST[2:0]
O
1.5V/3.3V - M
Signal Description
SiS MuTIOL Connect
SiS MuTIOL Connect Control pins
SiS MuTIOL Connect Strobe
Strobe Compliment
I/O
1.8V - M
SiS MuTIOL Connect Reference Voltage
N-MOS Compensation Input
P-MOS Compensation Input
AGP Clock
AGP Frame#
AGP Initiator Ready
AGP Target Ready
AGP Stop#
AGP Device Select
AGP System Error
AGP Bus Request
AGP Bus Grant
AGP Address/Data Bus
AGP Command/Byte Enable
AGP Parity
AGP Status Bus
80
8640 N/B Maintenance
5.2 SIS650 (IGUI Host Memory Controller )
VB Interface
Name
VBCLK
VBHCLK
VBCAD
VBCTL[1:0]
VGPIO[3:2]
VBHSYNC
VBVSYNC
VBDE
VBGCLK
VBD[11:0]
VAHSYNC
VAVSYNC
VADE
VAGCLK
VGA Interface
Pin Attr
I
1.8V/3.3V - M
O
1.8V/3.3V – M
I/O
1.8V/3.3V – M
O
1.8V/3.3V - M
I/O
3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
I/O
1.8V/3.3V - M
VAGCLK#
I/O
1.8V/3.3V - M
VAD[11:0]
I/O
1.8V/3.3V - M
Signal Description
Channel B/A Clock Input
VBCLK multiplexed with SBA0
VB Programming Interface Clock
VBHCLK multiplexed with RBF#
VB Programming Interface Data
VBCAD multiplexed with AREQ#
VB Data Control
VBCTL[1:0] multiplexed with AAD[29:28]
VB GPIO pins
VGPIO[3:2] multiplexed with PIPE#/WBF#
Channel B H-Sync
VBHSYNC multiplexed with AAD30
Channel B V-Sync
VBVSYNC multiplexed with AAD31
Channel B Data Valid
VBDE multiplexed with AAD27
Channel B Clock Output.
This clock is used to trigger dual edge data transfer.
Perfect duty cycle is required.
VBGCLK multiplexed with AD_STB1
Channel B Data
VBD[11:0] multiplexed with AAD
Channel A H-Sync
VAHSYNC multiplexed with AAD18
Channel A V-Sync
VAVSYNC multiplexed with AAD17
Channel A Data Valid
VADE multiplexed with AAD16
Channel A Clock Output.
This clock is used to trigger dual edge data transfer.
Perfect duty cycle is required.
VAGCLK multiplexed with AD_STB0
Channel A Differential Clock Output. (To support
Chrontel).
VAGCLK# multiplexed with AD_STB0#
Channel A Data
VAD[11:0] multiplexed with AAD
Name
VOSCI
HSYNC
VSYNC
INTA#
VGPIO[1:0]
VCOMP
VRSET
VVBWN
ROUT
GOUT
BOUT
Pin Attr
I
3.3V - M
O
3.3V - M
O
3.3V - M
O
3.3V - M
I/O
3.3V - M
AI
Analog - M
AI
Analog - M
AI
Analog - M
AO
Analog - M
AO
Analog - M
AO
Analog - M
Signal Description
14.318 Reference Clock Input
Horizontal Sync
Vertical Sync
Internal VGA Interrupt Pin
Internal VGA GPIO pins
Compensation Pin
Reference Resistor
Voltage Reference
Red Signal Output
Green Signal Output
Blue Signal Output
Stereo Glasses Interface
Name
CSYNC
RSYNC
LSYNC
Pin Attr
O
3.3V - M
O
3.3V - M
O
3.3V - M
Signal De scription
Stereo Clock
Stereo Right
Stereo Left
81
8640 N/B Maintenance
5.2 SIS650 (IGUI Host Memory Controller )
Test Mode/Hardware Trap/Power Management
Name
DLLEN#
DRAM_SEL
TRAP[1:0]
ENTEST
TESTMOD
E[2:0]
AUXOK
PCIRST#
PWROK
Pin Attr
I/O
3.3V/5V - M
I
3.3V/5V - AUX
I
3.3V/5V - M
I
3.3V/5V - M
I
3.3V/5V - M
I
3.3V - AUXI
I
3.3V - AUXI
I
3.3V - AUXI
SiS MuTIOL Interface
Name
Signal Description
Hardware Trap pin (refer to section 5)
PIPE#
Hardware Trap pin (refer to section 5)
SBA[7:0]
Hardware Trap pins (refer to section 5)
RBF#
Test Mode enable pin
WBF#
Test Mode select pin
Nand Tree Test: 100
Auxiliary Power OK :
This signal is supplied from the power source of resume well. It
is also used to reset the logic in resume power well. If there is
no auxiliary power source on the system, this pin should be tied
together with PWROK.
PCI Bus Reset :
PCIRST# is supplied from SiS MuTIOL Media IO SiS961.
Main Power OK :
A high-level input to this signal indicates the power being
supplied to the system is in stable operating state. During the
period of PWROK being low, CPURST and PCIRST# will all
be asserted until after PWROK goes high for 24 ms.
AD_STB[1:
0]
AD_STB[1:
0]#
SB_STB
SB_STB#
Pin Attr
Signal Description
I
1.5V/3.3V - M
I/O
1.5V/3.3V - M
I
1.5V/3.3V - M
I
1.5V/3.3V - M
I/O
1.5V/3.3V - M
I/O
1.5V/3.3V - M
AGP Pipeline Request
I
1.5V/3.3V - M
I
1.5V/3.3V - M
Side Band Strobe
Side Band Address
Read Buffer Full
Write Buffer Full
AD Bus Strobe
AD Bus Strobe Compliment
Side Band Strobe Compliment
82
8640 N/B Maintenance
5.3 SIS 962(MuTIOL® Media I/O South Bridge )
MuTIOL Connect Interface
Name
Host Bus Interface
Pin Attr
Signal Description
ZVRE
I
3.3V - M
I/O
1.8V - M
I/O
1.8V - M
I/O
1.8V - M
I/O
1.8V - M
I/O
1.8V - M
I -M
ZCMP_N
I -M
N-MOS Compensation Input
ZCMP_P
I -M
P-MOS Compensation input
ZCLK
ZUREQ
ZDREQ
ZSTB[1:0]
ZSTB[1:0]#
ZAD[15:0]
FERR#
Megaband I/O Conect Controll pins
IGNNE#
Megaband I/O Conect Controll pins
NMI
Signal Name
GPIO14,[12:7]
GPIO13
GPIO[18:15]
GPIO[20:19]
Pin Attr
I/O
3.3V/5V -M
I/O
3.3V/5V -AUX
O
3.3V/5V - AUX
O
3.3V/5V - AUX
I/O
3.3V/5V - AUX
Pin Attr
I
1.1V/2.65V -M
OD
1.1V/2.65V -M
OD
1.1V/2.65V -M
Megaband I/O Connect Strobe
INTR
OD
1.1V/2.65V -M
Address/Data pins
APICD[1:0]
Megaband I/O Connect I/O reference voltage
CPUSLP#/
CPUSTP#
I/OD
1.1V/2.65V -M
OD
1.1V/2.65V -M
Strobe Compliment
General Purpose I/O
GPIO[6:0]
Name
Megaband I/O Connect Clock
STPCLK#
OD
1.1V/2.65V -M
INIT#
OD
1.1V/2.65V -M
APICCK
I
2.5V - M
A20M#
OD
1.1V/2.65V- M
Signal Description
GPIO:
Can be a general purpose input or output.
GPIO :
Can be a general purpose input or output.
GPO:
Can be a general purpose output.
GPO:
Can be a general purpose output.
GPIO:
Can be a general purpose input or output.
Signal Description
Floating Point Error:
CPU will assert this signal upon a floating point error occurring.
Ignore Numeric Error:
IGNNE# is asserted to inform CPU to ignore a numeric error.
Non-Maskable Interrupt:
A rising edge on NMI will trigger a non-maskable interrupt to
CPU.
Interrupt Request:
High-level voltage of this signal conveys to CPU that there is
outstanding interrupt(s) needed to be serviced.
APIC Data:
These two signals are used to send and receive APIC data.
CPU Sleep:
The CPUSLP# can be used to force CPU enter the Sleep state.
CPU Clock STOP:
For Intel Mobile processor, this signal can be used to stop the
clock to the processor. If the processor is in Quick Start state
and the processor clock is stopped, the processor will enter the
Deep Sleep state.
For AMD processor, this signal can be to reduce processor
voltage during C3/S1 state.
Stop Clock:
STPCLK# will be asserted to inhibit or throttle CPU activities
upon a pre-defined power management event occurs
Initialization:
INIT is used to re-start the CPU without flushing its internal
caches and registers. In Pentium III platform it is active high.
This signal requires an external pull-up resistor tied to 3.3V.
APIC Clock:
This signal is used to determine when valid data is being sent
over the APCI bus.
Address 20 Mask:
When A20M# is asserted, the CPU A20 signal will be
forced to “0”
83
8640 N/B Maintenance
5.3 SIS 962(MuTIOL® Media I/O South Bridge )
PCI Interface
Name
PCI Interface Continue
Pin Attr
AD[31:0]
I/O
3.3V/5V -M
PAR
I/O
3.3V/5V -M
FRAME#
IRDY#
I/O
3.3V/5V -M
I/O
3.3V/5V -M
TRDY#
I/O
3.3V/5V -M
STOP#
I/O
3.3V/5V -M
Signal Description
PCI Address /Data Bus:
In address phase:
1.When the SiS961 is a PCI bus master, AD[31:0] are output
signals.
2.When the SiS961 is a PCI target, AD[31:0] are input signals.
In data phase:
1.When the SiS961 is a target of a memory read/write cycle,
AD[31:0] are floating.
2.When the SiS961 is a target of a configuration or an I/O cycle,
AD[31:0] are output signals in a read cycle, and input signals in
a write cycle.
Parity:
SiS961 drives out Even Parity covering AD[31:0] and
C/BE[3:0]#. It does not check the input parity signal.
Frame#:
FRAME# is an output when the SiS961 is a PCI bus master.
The SiS961 drives FRAME# to indicate the beginning and
duration of an access. When the SiS961 is a PCI slave device,
FRAME# is an input signal.
Initiator Ready:
IRDY# is an output when the SiS961 is a PCI bus master. The
assertion of IRDY# indicates the current PCI bus master's
ability to complete the current data phase of the transaction. For
a read cycle, IRDY# indicates that the PCI bus master is
prepared to accept the read data on the following rising edge of
the PCI clock. For a write cycle, IRDY# indicates that the bus
master has driven valid data on the PCI bus. When the SiS961 is
a PCI slave, IRDY# is an input pin.
Target Ready:
TRDY# is an output when the SiS961 is a PCI slave. The
assertion of TRDY# indicates the target agent's ability to
complete the current data phase of the transaction. For a read
cycle, TRDY# indicates that the target has driven valid data
onto the PCI bus. For a write cycle, TRDY# indicates that the
target is prepared to accept data from the PCI bus. When the
SiS961 is a PCI master, it is an input pin.
Stop#:
STOP# indicates that the bus master must start terminating its
current PCI bus cycle at the next clock edge and release control
of the PCI bus. STOP# is used for disconnection, retry, and
target-abortion sequences on the PCI bus.
Name
Pin Attr
DEVSEL#
I/O
3.3V/5V -M
PREQ[4:0]#
I
3.3V/5V -M
O
3.3V –M
I
I/O
3.3V/5V- M
O
I/O
3.3V- M
I
3.3V/5V –M
PGNT[4:0]#
PREQ5# /
GPIO5
PGNT5# /
GPIO6
INT[A:D]#
PCIRST#
O
3.3V –M
SERR#
I
3.3V/5V –M
Signal Description
Device Select:
As a PCI target, SiS961 asserts DEVSEL# by doing positive or
subtractive decoding. SiS961 positively asserts DEVSEL# when
the DRAM address is being accessed by a PCI master, PCI
configuration registers or embedded controllers’ registers are
being addressed, or the BIOS memory space is being accessed.
The low 16K I/O space and low 16M memory space are
responded subtractively. The DEVESEL# is an input pin when
SiS961 is acting as a PCI master. It is asserted by the addressed
agent to claim the current transaction.
PCI Bus Request:
PCI Bus Master Request Signals
PCI Bus Grant:
PCI Bus Master Grant Signals
PCI Bus Request:
PCI Bus Master Request Signal
PCI Bus Grant:
PCI Bus Master Grant Signal
PCI interrupt A,B,C,D:
The PCI interrupts will be connected to the inputs of the internal
Interrupt controller through the rerouting logic associated with
each PCI interrupt.
PCI Bus Reset:
PCIRST# will be asserted during the period when PWROK is
low, and will be kept on asserting until about 24ms after
PWROK goes high.
System Error:
When sampled active low, a non-maskable interrupt (NMI) can
be generated to CPU if enabled.
84
8640 N/B Maintenance
5.3 SIS 962(MuTIOL® Media I/O South Bridge )
Power Management Interface
IED Interface
Name
IDA[15:0]
IDB[15:0]
IDECSA[1:0]#
IDECSB[1:0]#
IIOR[A:B]#
IIOW[A:B]#
ICHRDY[A:B]
IDREQ[A:B]
IDACK[A:B]#
IIRQ[A:B]
IDSAA[2:0]
IDSAB[2:0]
CBLID[A:B]
Pin Attr
I/O
3.3V/5V -M
I/O
3.3V/5V -M
O
3.3V -M
O
3.3V -M
O
3.3V -M
O
3.3V -M
I
3.3V/5V -M
I
3.3V/5V -M
O
3.3V -M
I
3.3V/5V -M
O
3.3V -M
O
3.3V -M
I
3.3V/5V -M
Signal Description
Primary Channel Data Bus
SPK
ENTEST
OSCI
Pin Attr
O
3.3V -M
I
3.3V/5V -M
I
3.3V -M
Pin Attr
OD
<=5V -AUX
EXTSMI# /
GPIO3
I
I/O
3.3V/5V -M
PME#
I
3.3V/5V -AUX
PSON#
OD
<=5V -AUX
AUXOK
I
3.3V -AUX
PWRBTN#
I
3.3V/5V -AUX
RING /
GPIO8
I
I/O
3.3V/5V -AUX
ACPILED :
ACPILED can be used to control the blinking of an LED at the
frequency of 1Hz to indicate the system is at power saving
mode.
External SMI#:
EXTSMI# can be used to generate wakeup event, sleep event, or
SCI/SMI# event to the ACPI compatible power management
unit.
PME# :
When the system is in power-down mode, an active low event
on PME# will cause the PSON# to go low and hence turn on the
power supply. When the system is in suspend mode, an active
PME# event will cause the system wakeup and generate an
SCI/SMI#.
ATX Power ON/OFF control:
PSON# is used to control the on/off state of the ATX power
supply. When the ATX power supply is in the OFF state, an
activated power-on event will force the power supply to ON
state.
Auxiliary Power OK:
This signal is supplied from the AUX power source. It is also
used to reset the logic in AUX power well. If there is no
auxiliary power source on the system, this pin should be tied
together with PWROK.
Power Button:
This signal is from the power button switch and will be
monitored by the ACPI-compatible power management unit to
switch the system between working and sleeping states.
Ring Indication:
An active RING pulse and lasting for more than 4ms will cause
a wakeup event for system to wake from S1~S5.
BCLK_STP#
GPIO12
O
I/O
3.3V/5V -AUX
O
O
3.3V/5V -AUX
Stop CPU clock:
Output to the external clock generator for it to turn off the CPU
clock during C3/Sx.
Deeper Sleep:
DPRSLP# can be used to lower the Intel processor voltage
during C3/S1 state.
Secondary Channel Data Bus
Primary Channel CS[1:0]
Secondary Channel CS[1:0]
Primary/Secondary Channel IOR# Signals
Primary/Secondary Channel IOW# Signals
Primary/Secondary Channel ICHRDY# Signals
Primary/Secondary Channel DMA Request Signals
Primary/Secondary Channel DMACK# Signals
Primary/Secondary Channel Interrupt Signals
Primary Channel Address [2:0]
Secondary Channel Address [2:0]
Primary/Secondary Ultra-66 Cable ID
Legacy I/O and Miscellaneous Signals
Signal Name
Name
ACPILED
Signal Description
Speaker output:
The SPK is connected to the system speaker.
SiS961 Test Mode Enable Pin
DPRSLPVR
GPIO13
Signal Description
SiS961 Test Mode Enable Pin
85
8640 N/B Maintenance
5.3 SIS 962(MuTIOL® Media I/O South Bridge )
LPC Interface
AC’97 Interface
Name
Pin Attr
AC_BIT_CLK
I
3.3V/5V -M
AC_RESET#
O
3.3V -AUX
I
3.3V/5V -AUX
I
3.3V/5V -AUX
AC_SDIN0
AC_SDIN1
AC_SDIN[3:2]/
GPIO[10:9]
AC_SDOUT
AC_SYNC
I
I/O
3.3V/5V -AUX
O
3.3V -M
O
3.3V -M
Signal Description
AC’97 Bit Clock:
This signal is a 12.288MHz serial data clock, which is generated
by primary Codec.
AC’97 Reset:
Hardware reset signal for external Codecs.
AC’97 Serial Data Input :
Serial data input from primary Codec.
AC’97 Serial Data Input:
Serial data input from secondary Codec. When Modem Codec is
used, this pin dedicate to Modem Serial data input.
AC’97 Serial Data Input:
Serial data input from third and forth Audio Codec.
Name
Pin Attr
LAD[3:0]
I/O
3.3V/5V-M
LDRQ#
I
3.3V/5V-M
I
I/O
3.3V/5V-M
O
3.3V -M
LDRQ1# /
GPIO1
LFRAME#
SIRQ
I/O
3.3V/5V -M
Signal Description
LPC Address/Data Bus:
LPC controller drives these four pins to transmit LPC command,
address, and data to LPC device.
LPC DMA Request 0:
This pin is used by LPC device to request DMA cycle.
LPC DMA Request 1:
This pin is used by LPC device to request DMA cycle.
LPC Frame:
This pin is used to notify LPC device that a start or a abort LPC
cycle will occur.
I/O
3.3V/5V -M
AC’97 Serial Data Output:
Serial data output to Codecs.
AC’97 Synchronization:
This is a 48KHz signal, which is used to synchronize the Codecs
TRC Interface
Name
Pin Attr
BATOK
I
3.3V -RTC
OSC32KHI
I
3.3V-RTC
OSC32KHO
O
<3.3V -RTC
PWROK
I
3.3V-RTC
USB Interface
Name
USBCLK48M
OC[0:5]#
UV[2:0]+,
UV[2:0]UV[5:3]+,
UV[5:3]-
Pin Attr
I
3.3V/5V -M
Signal Description
USB 48 MHz clock input:
This signal provides the fundamental clock for the USB
Controller.
I/O
USB Port 0-5 Overcurrent Detection:
3.3V/5V - AUX OC[0:5]# are used to detect the overcurrent condition of USB
Ports 0-5.
I/O
USB Port [2:0] Differential:
3.3V - AUX
These differential pairs are used to transmit Data/Address
/Command signals for ports 0-2. (USB controller 1)
I/O
USB Port [5:3] Differential:
3.3V - AUX
These differential pairs are used to transmit Data/Address/
Command signals for ports 3-5. (USB controller 2)
Signal Description
Battery Power OK:
When the internal RTC is enabled, this signal is used to indicate
that the power of RTC well is stable. It is also used to reset the
logic in RTC well. If the internal RTC is disabled, this pin
should be tied low.
RTC 32.768 KHz Input:
When internal RTC is enabled, this pin provides the 32.768
KHz clock signal from external crystal or oscillator.
RTC 32.768 KHz Output:
When internal RTC is enabled, this pin should be connected
with the other end of the 32.768 KHz crystal or left unconnected
if an external oscillator is used.
Main Power OK:
A high-level input to this signal indicates the power being
supplied to the system is in stable operating state. During the
period of PWROK being low, PCIRST# will all be asserted
until after PWROK goes high for 12 ms.
86
8640 N/B Maintenance
5.3 SIS 962(MuTIOL® Media I/O south bridge )
Keyboard Control Interface
Name
KBDAT /
GPIO15
KBCLK /
GPIO16
PMDAT /
GPIO17
PMCLK /
GPIO18
Pin Attr
I/OD
O/OD
3.3V/5V -AUX
I/OD
O/OD
3.3V/5V -AUX
I/OD
O/OD
3.3V/5V -AUX
I/OD
O/OD
3.3V/5V -AUX
MAC Interface Continue
Signal Description
Keyboard Dada:
When the internal keyboard controller is enabled, this pin is
used as the keyboard data signal.
Keyboard Clock:
When the internal keyboard controller is enabled, this pin is
used as the keyboard clock signal.
PS2 Mouse Data:
When the internal keyboard and PS2 mouse controllers are
enabled, this pin is used as PS2 mouse data signal.
PS2 Mouse Clock:
When the internal keyboard and PS2 mouse controllers are
enabled, this pin is used as the PS2 mouse clock signal.
Name
O
3.3V -AUX
MDIO
I/O
3.3V/5V -AUX
RXDV
I
3.3V/5V -AUX
COL
I
3.3V/5V -AUX
CRS
I
3.3V/5V -AUX
RXCLK
I
3.3V/5V -AUX
TXCLK
I
3.3V/5V -AUX
MAC Interface
Name
Pin Attr
RXER
I
3.3V/5V -AUX
MIICLK25M
I
3.3V/5V -AUX
MDC
O
3.3V -AUX
TXD[0:3]
I
3.3V/5V -AUX
TXEN
O
3.3V -AUX
RXD[0:3]
I
3.3V/5V -AUX
Pin Attr
TXEN
Signal Description
RX Packet Error
This event is signaled after the last received descriptor in a
failed packet reception that has been updated with valid status.
PHY 25MHz Clock Input:
This pin provides the 25MHz clock signal input to the built-in
oscillator.
Management Data Clock:
Clock signal with a maximum rate of 2.5MHz used to transfer
management data for the external physical unit on the
MIIMDIO pin.
Receive Data:
This is a group of 4 data signals aligned on nibble boundaries
which are driven synchronous to the RXCLK by the external
physical unit.
Transmit Data:
This is a group of 4 data signals which are driven synchronous
to the TXCLK for transmission to the external physical unit.
Receive Data:
This is a group of 4 data signals aligned on nibble boundaries
which are driven synchronous to the RXCLK by the external
physical unit.
Signal Description
Transmit Enable:
When set to a 1, and the transmit state machine is idle, then the
transmit state machine becomes active. This bit will read back
as a 1 whenever the transmit state machine is active. After initial
power-up, software must insure that the transmitter has
completely reset before setting this bit
Management Data I/O:
Bi-direction signal used to transfer management information for
the external physical unit. Requires external pull-up resistor.
Receive Data Valid.
This indicates that the external physical unit is presenting
recovered and decoded nibbles on the RXD[3:0] and that
RXCLK is synchronous to the recovered data. This signal will
encompass the frame, starting with the Start-Of-Frame delimiter
and excluding the End-Of-Frame delimiter.
Collision Detect:
This signal is asserted high asynchronous by the external
physical unit upon detection of a collision on the medium. It’ll
remain asserted as long as the collision condition persists.
Carrier Sense:
This signal is asserted high asynchronously by the physical unit
upon detection of a non-idle medium.
Receive Clock
A continuous clock that is recovered from the incoming data.
During 100Mb/s operation RXCLK is 25MHz and during
10Mb/s this is 2.5MHz.
Transmit Clock
A continuous clock that is sourced by the physical unit. During
100Mb/s operation RXCLK is 25MHz and during 10Mb/s this
is 2.5MHz.
87
8640 N/B Maintenance
5.3 SIS 962(MuTIOL® Media I/O south bridge )
Power and Ground Signals
Name
General Purpose I/O
Tolerance
Power Plane
Type Attribute
Signal Name
VSS
0V
GROUND
Digital
VSSZ
0V
GROUND
Digital
IVDD
1.8V
MAIN
Digital
PVDDZ
1.8V
MAIN
Digital
GPIO13
VDDZ
1.8V
MAIN
Digital
GPIO[18:15]
VDDZCMP
1.8V
MAIN
Analog
VSSZCMP
0V
GROUND
Analog
ZVSSREF
0V
GROUND
Analog
PVDD
3.3V
MAIN
Digital
OVDD
3.3V
MAIN
Digital
VTT
1.1V-2.65V
MAIN
Digital
IVDD_AUX
1.8V
AUX
Digital
PVDD_AUX
3.3V
AUX
Digital
OVDD_AUX
3.3V
AUX
Digital
MIIAVDD
3.3V
AUX
Analog
MIIAVSS
0V
GROUND
Analog
USBVDD
3.3V
AUX
Analog
USBVSS
0V
GROUND
Analog
RTCVDD
3.3V
RTC
Analog
RTCVSS
0V
GROUND
Analog
Z1XAVDD
3.3V
MAIN
Analog
Z1XAVSS
0V
GROUND
Analog
Z4XAVDD
3.3V
MAIN
Analog
Z4XAVSS
0V
GROUND
Analog
IDEAVDD
1.8V
MAIN
Analog
IDEAVSS
0V
GROUND
Analog
GPIO[6:0]
GPIO14,[12:7]
GPIO[20:19]
Pin Attr
I/O
3.3V/5V -M
I/O
3.3V/5V -AUX
O
3.3V/5V - AUX
O
3.3V/5V - AUX
I/O
3.3V/5V - AUX
Signal Description
GPIO:
Can be a general purpose input or output.
GPIO :
Can be a general purpose input or output.
GPO:
Can be a general purpose output.
GPO:
Can be a general purpose output.
GPIO:
Can be a general purpose input or output.
88
8640 N/B Maintenance
6. System Block Diagram
Pentium 4 CPU
nVIDIA
Thermal Sensor
MAP 17
Willamette/Northwood
Micro-FCPGA 478 pin
692BGA
ADM1032
AV/RGB
TV-Encoder
IGUI Host/Memory
Controller
LCD PANEL
MINI PCI
TV S-VIDEO
SiS301LV/
CH7019
Socket
CRT
Memory Bus /266MHz
AGP
BUS
200 pin DDR SO-DIMM Socket * 2
MINI
IEEE1394
SiS651
PCI BUS
MUTIOI
Media I/O
Hyperzip
Data BUS
266MHz
512MB/SEC
IEEE1394
PCMCIA
Controller
Reader Card
PHY
MIC
RTL8801
Extend
MIC
Internal
Speaker
MuTIOL Media I/O
USB2.0
Controller
HDD
AC Link
Audio Codec
PCI 1410
Amplifier
CDROM
SiS962
Power
Switch
RJ-45 Jack
M.D.C
LAN PHY
SPDIF
JACK
RJ-11 Jack
FAN
IR Module
HP-3600
PCMCIA/CARDBUS
Socket
Print Port
Super I/O
ISA BUS
Micro
Power Button
Controller
PC87393
Flash ROM
Cover Switch
H8/F3437
Touch Pad
Keyboard
89
8640 N/B Maintenance
7. Maintenance Diagnostics
7.1 Introduction
Each time the computer is turned on, the system BIOS runs a series of internal checks on the hardware. This
power-on self test (POST) allows the computer to detect problems as early as the power-on stage. Error messages of
post can alert you to the problems of your computer.
If an error is detected during these tests, you will see an error message displayed on the screen. If the error
occurs before the display is initialized,then the screen cannot display the error message. Error codes or system beeps
are used to identify a post error that occurs when the screen is not available.
The value for the diagnostic port (378H) is written at the beginning of the test. Therefore, if the test failed, the
user can determine where the problem occurred by reading the last value written to port 378H by the eight LEDs on
board.
90
8640 N/B Maintenance
7.2 Debug Card
7.2.1 Diagnostic Tools :
LED
*
8
OR
PIO CONNECTOR
*
1
P/N:411904800001
DESCRIPTION :PWA;PWA-378PORT DEBUG BD
Note:Order it from MIC/TSSC
7.2.2 CIRCUIT:
PIO
CONNECTOR
LED
25
13
PIN1 : STROBE
PIN 13 : SLCT
PIN10: ACK#
PIN 16 : INT#
PIN11: BUSY
PIN 17 : SELIN#
PIN12: PTERR
PIN 14 : AUTOFD#
14
1
PIN{9:2}: PD{7:0}
91
8640 N/B Maintenance
7.3 Error Codes : Following is a list of error codes in sequent display on the PIO debug board
System Soft BIOS:
Code
0
0
01
02
03
04
05
06
07
08
09
0A
0B
0F
10
11
12
13
14
15
16
17
18
19
1A
Description
Boot started
Disable A20 through A20
Initialize Chipset
Test RAM
Move BL into the RAM
Execution in RAM
User Flash Check
Shadow system BIOS
Checksum System BIOS ROM
Proceed with Normal Boot
Proceed with Crisis Boot
Initialize Clock Sythesizer
Fatal Error
Some Type of Long Reset
Turn Off Fasta20 for Post
Signal Power On Reset
Initialize the Chipset
Search For ISA Bus VGA Adapter
Reset Counter/Timer 1
User Register Config Through CMOS
Size Memory
Dispatch to RAM Test
Checksum the ROM
Reset PIC’s
Code
1B
1C
1D
1E
1F
20
21
2
2
23
24
25
26
27
28
29
2A
2B
2C
2D
2E
2F
30
31
32
Description
Initialize Video Adapter
Initialize Video(6845 Regs)
Initialize Color Adapter
Initialize Monochrome Adapter
Test 8237A Page Registers
Test Keyboard
Test Keyboard Controller
Check If CMOS Ram Valid
Test Battery Fail & CMOS X-SUM
Test DMA Controller
Initialize 8237A Controller
Initialize Int Vectors
RAM Quick Sizing
Protected mode entered safely
RAM Test Completed
Protected mode exit successful
Setup Shadow
Going to Initialize Video
Search For Monochrome Adapter
Search For Color Adapter
Signal Messages Displayed
Special Into of Keyboard Controller
Test If Keyboard Present
Test Keyboard Interrupt
92
8640 N/B Maintenance
7.3 Error Codes : Following is a list of error codes in sequent display on the PIO debug board
System Soft BIOS:
Code
Description
33
34
35
36
37
38
39
3A
3B
3C
3D
3E
3F
40
41
42
43
44
45
46
47
Test Keyboard Command Byte
TEST, Blank and Count All RAM
Protected mode entered safely
RAM Test Complete
Protected mode exit successful
Update Output Port
Setup Cache Controller
Test If 18.2Hz Periodic Working
Test for RTC ticking
Initialize the Hardware Vectors
Search and Init the Mouse
Update NumLock Status
Special init of COMM and LPT ports
Configure the COMM and LPT ports
Initialize the floppies
Initialize the Hard Disk
Initialize option ROMs
OEM’s init of power management
Update NumLock Status
Test For Coprocessor Installed
OEM Function Before Boot
Dispatch To Op.Sys.Boot
Jump Into Bootstrap Code
Resume SMRAM not Found
48
49
99
93
8640 N/B Maintenance
8.Trouble shooting
8.1 No Power
8.10 USB Port Test Error
8.2 Battery Can not Be Charged
8.11 PIO Port Test Error
8.3 No Display
8.12 PC Card Socket Test Error
8.4 VGA Controller Failure LCD No Display
8.13 MINI-PCI Socket Failure
8.5 VGA Controller Failure TV No Display
8.14 IEEE 1394 Failure
8.6 VGA Controller Failure Monitor No Display
8.15 Audio Driver Failure
8.7 Keyboard(K/B) and Touch Pad(T/P) Test Error
8.16 LAN Test Error
8.8 Hard Disk Drive Test Error
8.17 Smart Media&Secure Digital Card
Controller Test Error
8.9 CD-ROM Driver Test Error
94
8640 N/B Maintenance
8.1 No Power
When power button is pressed ,Nothing happing ,Power indicator does not light up.
P30
P30
PD501
PD502
PQ503
PQ502
P26
PU17
+3VA
P26
PQ515
PQ506
+5VAS
+5V
H8-VDD5
PD5
PD4
PD6
PT1 PD7
PL503
P29
P30
DVMAIN
ADINP
PU502
P29
PQ505
+12V
P30
+12VS
P23
U3
PL4
PT1
PU1
PU2
CHARGE
USB(2,3)VCC5
U11
P29
+5V
P26
DBATT
Main Voltage Map
P24
L537
P30
PU512
PU514
PU14
PU23
PU517
PL14
P26
+5VA
ALWAYS
Power
PF501 J1
PL501
PL502
PU504 PL504
PU3
P30
+5VS
PU506
L528
PU6
PU5
PL1
PL2
DISCHARGE
P29
+3V
VCC CORE
PU20
PL16
PU1 PU2
5V_AMP
P28
PU4
PU507 PU14
PU15 PU508
PU11 PU505
PU12 PU506
PU18
PU509
PU511
PL12
L36
P25
+1.5VS
R723
P25
PU22
L29
PU513
PU21
PL15
PU501
P25
+2.5V_DDR
R807
PU10
+2.8VS
P5
VCCPVID JS504
L524
USB(0,1)VCC5
J23 PF1 PU515 PU516
P26
PU7
P21
U2
P4
P19
VCCA
P20
L40
L41
+3VLAN
P30
L27
+3VS
+1.25V
L34
P17
USBVDD
L35
P17
USBPVDD
L38
P17
USBREFAVDD
L39
P25
VDD_MEM2.5
1394VCC3
P21
SDAVDD
P7
DDRAVDD
P7
CPUAVDD
P6
PHYAVDD
P6
AGPAVDD1
P6
AGPAVDD2
P6
Z4XAVDD
P7
Z1XAVDD
P7
SZ4XAVDD
P7
SZ1XAVDD
P7
L533
P14
L535
+DDRVREF
+1.8V
P18
L25
P25
P25
VCC3_IR
PU8
P25
+1.8VS
L37
IDEAVDD
P7
95
8640 N/B Maintenance
8.1 No Power
When power button is pressed ,Nothing happing ,Power indicator does not light up.
PD501
AWAYS
PL502
30
PF501
6.5A
J1
PQ503
SI4835DY
PL501
3
2
1
PD502
27
8
7
6
5
PR5
1
PC3
0.1U
PC6
0.1U
PD1
RLZ
24D
MXA1772
PD5
PR2
470K
PR1
470K
PR4
1
8
7
6
5
3
2
1
4
BATT
23
26
4
PC501
0.1U
PU512
27
DVMAIN
PD4
PD6
ADINP
PQ502
SI4835DY
24
D
PR503
47K
LEARNING#
G
12
S
PQ501
PR554
C
+5VA
PR528
470K
B
PQ517
E
PQ508
ALWAYS
8
IN
SENSE
7 F/B
2
3
SW_+5VA
SHUTDN
PL504
ALWAYS
+5VA
4
PC535
0.1U
PC53
4
0.1U
1
2
3
PL509
PU518
J23
PD505
PR567
1M
8
7
6
5
PC536
0.1U
26
PC532
0.1U 50V
39
PQ518
S
PR565
100K
+5VA
4
PU504
F3437
D
+5V
6
1
5VTAP
OUT
H8
+5VA
100K
G
SW +5VA#
U519
ADEN# 30
PR563
169K
4
PL511
PQ506
PL510
PF1
10A
8
7
6
5
1
2
3
PU515
DBATT
1
Battery
connector
PR576
4.99K
DBAT_V
1
RP11
2
PR579
20K
96
8640 N/B Maintenance
8.1 No Power
When power button is pressed ,Nothing happing ,Power indicator does not light up.
No power
Check the following parts for cold solder or one of the following
parts on the Mother Board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
Battery
Is the
Notebook connected
to power (Either AC adaptor
or battery)?
Yes
Try another known good battery
or AC adapter.
Power
OK?
Yes
No
Parts
Connect
AC adaptor
or battery
J23
PR565
PR576
PQ517
PL510
PU518
PR554
PF1
PL511
PU515
PQ518
BATT
BATT_T
ADMAIN ADINP
BAT_V
ADEN#
Where
From Power Source
Problem(First use
AC to power it)
Check the following parts for cold solder or one of the following
parts on the Mother Board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
Replace the faulty
AC adaptor or
Battery.
AC
No
Adaptor
Replace
Motherboard
PL509
PR467
PR579
PR3
Signal
Board-level
Troubleshooting
Parts
PF501 PL501 PL502 PC501 PC3
PC6 PD1 PQ503 PQ502 PR5 PR4
PD4 PD5 PD6 PU512 PD501
PD502 PQ501 PR508 PU527 U527
Signal
VMAIN
AWAYS
+5VA
SW+5VA# POWERBTN#
PWR_ON LEARNING
97
8640 N/B Maintenance
8.2 Battery Can not Be Charged
When the battery is installed but the battery status indicate LED display abnormal.
PD513
PL507
ADINP
PL508
PR601
0
PC65
0.1U
PD515
PC559
22U
PU19
SI4410DY
SO8
27
1 DCIN
PU512
DHI 24
PL14
LX
PU23
PU517
SI4835DY
SI4835DY
8
7
6
5
PR577
0.035
23
3
2
1
MAX1772
14
DL0
ICTL
PGND
PC579
10U
18
PC578
0.1U
20
PR578
1
PR569
100K
PD517
19
DBATT
PR568
470K
21
PR573
1
8
7
6
5
3
2
1
LI_OVP
EC31QS03L
PU514
FDS7764A
PQ520
+5VAS
PC569
0.1U
PC574
0.1U
DVMAIN
PR556
750K
+5VA
44
P76/AN6/DA0
U519
D511
1
DBATT
PR58
4.99K
BAT_T 3
8
C819
0.1U
H8/F
3437S
PF1
PR57
20K
PR576 10A
301K
P71/AN1 39
24
2
RP11
33*4
38 BAT_TEMT
3
+5VAS
24
P70/AN0
BAT_VOLT
2
C821
0.1U
99
LID#
BAT_CLK
3
7
RP11
33*4
PL[511 509 510]
BAT_V 1,2
BAT_C 4
J23
BAT_D 5
26
DBATT_
DEAD
1
BATTERY
CONNECTOR
PR48
1M
+5VAS
PR46
4.7K
BATTERY
PR579
100K
PR49
12.1K
5
6
6
5
+
-
PR44
100K
PU510A
LMV393M
PR45
100K
DBATT
PQ516
4
4
PR555
402K
+
-
8
7
PU510B
SCK431LCK
-5SOT23N
98
8640 N/B Maintenance
8.2 Battery Can not Be Charged
When the battery is installed but the battery status indicate LED display abnormal.
Battery can not Charge
Board-level
Troubleshooting
Is the
notebook connected
to power (AC adaptor)?
No
Connect
AC adaptor.
Yes
Replace
Motherboard
Check the following parts for cold solder or one of the following
parts on the mother-board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.2
Parts
1. Make sure that the battery is good.
2. Make sure that the battery is installed properly.
Battery charge
OK?
No
Yes
Correct it.
PU512
U519
PU19
PU514
PU23
PU517
PU510
PQ521
PQ519
PQ520
PQ516
PR566
PR562
PL14
PD517
PR577
PR573
PR578
PC569
PC574
PR569
PC564
D511
C819
C821
RP11
PR58
PR57
PL511
PL509
Signal
PL510
PR576
PR579
PF1
PR556
PR45
PR46
PR49
PR48
PR560
PD513
PD515
PL508
PL507
ADINP
LI_OVP
CHANGING
DBTT
BAT_T
BAT_C
BAT_D
BATT_DEAD
99
8640 N/B Maintenance
8.3 No Display
System Clock Check 1
4
U8
P4 CPU
HCLK_CPU
R664
HCLK_CPU#
R671
33
13
40
33
19
28
39
PGA478
29
42
6
U9
North
Bridge
SIS651
HCLK_SIS650
R654
HCLK_SIS650#
48
33
44
R658
33
43
AGP_CLK
R686
22
31
SDRAMCLK
R651
22
47
ZCLK0
R661
22
9
REF_CLK0
R643
22
2 FS0
FS2 4
U510
15
SMBCLK
R676
4.7K
R682
4.7K
VDDA48
VDDAGP
VDDCPU
VDDSD
14.318MHZ_TV R652
R689
17
33
33
U12
SIS302V
Card Bus
CH7019
0
PCI1410
PCICLK_CARD
23
J505
Gen
23
R696
33
CLK_MINIPCI
Mini_PCI
ICS952001
34
ZCLK1
R660
22
10
PCI_SB_CLK
R678
33
14 FS3
REF_CLK1
R644
19
9
U7
35
SMBDATA
South
Bridge
From Next
Page
VDDREF
VDDZ
VDDPCI
Clock
+3VS
U515
1
11
13
WIRELESS
27
R699
33
CLK_SIO
15
R21
33
CLK_LPC33
22
USBCLK_SB
R704
33
3 FS1
FS4
22
26
SIS962
CPU_STP#
X2 7
45
D505
+3VS
+3VS R107
10K
VCC_CORE
R100
10K
C
R96
B
C
10K
B
Q8
MMBT3904L
U524
VTT_PWRGD
33
VTT_PWRGD
X1
6
Super IO
X503
14.318MHz
1
PC87393
3
2
4
C646
10P
C648
10P
Q7
MMBT3904L
E
E
100
8640 N/B Maintenance
8.3 No Display
System Clock Check 2
FSB 400/533 SELECT(DDR DEFAULT 266)
+3VS
+3VS
+3VS
R87
10K
R195
475
R73
10K
R76
10K
FS0
FS1
Q6
DTC144TKA
+3VS
L525
120Z/100M
VDDPCI
C661
0.1U
C682
0.1U
VDDSD
SDRAMZCLK AGP
66.7 66.7 67
100 66.7 67
200 66.7 67
133 66. 7 67
150
60 60
125 62.5 63
160 66.67 67
133.3
80 67
200 66.67 67
167 62. 5 63
166.7 71.43 83
133.3 66.67 67
133.3 66.67 67
95 63.33 63
126.7 63.33 63
66.67
50 50
140
70 70
101 67.3 67
144
72 72
135 67.3 67
149 74.7 75
100 66.7 67
133 66. 7 67
167 66. 7 67
133
80 67
100
80 67
167 83.3 63
160
80 67
133 100 67
100 100 67
FSB400/DDR266
FSB400/DDR333
FSB400/DDR266
FSB533/DDR333
120Z/100M
120Z/100M
C681
0.1U
+3VS
L529
L26
VDDAGP
C655
0.1U
C632
0.1U
+3VS
+3VS
L26
VDDZ
120Z/100M
120Z/100M
FS4
+3VS
+3VS
VDDREF
FS2
R86
10K
BSEL0
BSEL0
L523
R85
10K
Bit2 Bit7 Bit6 Bit5 Bit4
FS4 FS3 FS2 FS1 FS0 CPU
0
0
0
0
0
66.7
0
0
0
0
1
100
0
0
0
1
0
100
0
0
0
1
1
100
0
0
1
0
0
100
0
0
1
0
1
100
0
0
1
1
0
100
0
0
1
1
1
100
0
1
0
0
0
100
0
1
0
0
1
100
0
1
0
1
0
100
0
1
0
1
1
80
0
1
1
0
0
80
0
1
1
0
1
95
0
1
1
1
0
95
0
1
1
1
1 66.67
1
0
0
0
0
105
1
0
0
0
1 100.9
108
1
0
0
1
0
1
0
0
1
1 100.9
112
1
0
1
0
0
1
0
1
0
1 133.3
1
0
1
1
0 133. 3
1
0
1
1
1 133. 3
100
1
1
0
0
0
100
1
1
0
0
1
100
1
1
0
1
0
1
1
0
1
1 133.3
100
1
1
1
0
0
100
1
1
1
0
1
C630
0.1U
+3VS
L23
120Z/100M
C631
0.1U
VDDCPU
C97
0.1U
L28
120Z/100M
C107
0.1U
VDDA48
C692
0.1U
101
8640 N/B Maintenance
8.3 No Display
DDR SDRAM Clock Check 3
+2.5_DDR
L534
13
10
L536
R804
19
22
BF_OUT
20
3.12.23
+DDRVREF
From Clock
Gen
7
U9
SDRAMCLK
FWDSDCLKO
8
U518
North-Bridge
2
CLK_DDR0
R776
1
CLK_DDR0#
R775
4
CLK_DDR1
R777
5
CLK_DDR1#
R778
13
CLK_DDR2
R779
14
CLK_DDR2#
R780
J22
14
SIS651
CLOCK
BUFFER
+DDRVREF
SMBCLK
7
U526
South-bridge
SIS961
SMBDATA 22
ICS93722
17
CLK_DDR3
R805
16
CLK_DDR3#
R806
24
CLK_DDR4
R803
25
CLK_DDR4#
R802
26
CLK_DDR5
R801
27
CLK_DDR5#
R800
J504
14
102
8640 N/B Maintenance
8.3 No Display
System Reset Check
4
7
+5VA
+5v
15
U517
ADM809
3
U9
SIS650
VCC
24
RESET#
2
H8_RESET#
U8
Pentium 4
CPU_PWRGD
P4 CPU
SIS_PWRBTN#
1
GND
1
North bridge
CPURST#
18
Q502
DTC144WK
H8-SISPWRBTN
U6
nVIDIA
+5V
PWR
BUTTON B/D
J501
SW6
R762
1K
23 POWERBTN#
U519
C805
0.1U
+5V
SUSC
PWRON
Module
3
D5 1
Controller
H8/F3437
5
C806
68P
C807
68P
R180
PCIRST#
0
66
U12 19
Cardbus
PCI 1410A
South
Bridge
IDE_RESET
PWROK
4
123
18
LEVEL
SHIFT
PWROK
D6
+3V
U515
SIS962
U516
3
X508 16MHZ
S3AUXSW#
24
H8_PWROK
2
R768
1M
PS_ON#
2
To North bridge
& H8
13
C801
68P
LTC3707
R179
0
R227
10K
R228
10K
Q20
Micro
Power
+3V
+3V
R226
10K
Q521
R758
1K
H8_PWRON 14
MAP17(1/2)
+3VS
R225
10K
25 H8_SUSC
10
PWROK
J16
Primary
EIDE
Connector
PJ1
Secondary
EIDE
Connector
26
U5
AC97
ALC202
J503
23
MINI-PCI
Wireless
AUXOK
RSMRST#
R133
1K
R128
100K
C842
22U
9
U512
Super I/O
PC87393
22
103
8640 N/B Maintenance
8.3 No Display
There is no display on both LCD and VGA monitor after power on although the LCD and monitor is knowngood.
No Display
Monitor
or LCD module
OK?
No
Replace monitor
or LCD.
Board-level
Troubleshooting
Yes
Make sure that CPU module,
DIMM memory are installed
Properly.
Display
OK?
Yes
Correct it.
No
Yes
According error
Cord to repair
No
1.Try another known good CPU
module, DIMM module And BIOS.
2.Remove all of I/O device (HDD,
CD-ROM…….) from motherboard
except LCD or monitor.
Display
OK?
Replace
Motherboard
If 378 Port
Have error code
Yes
Check system clock and reset
circuit.
1. Replace faulty part.
2. Connect the I/O device to the
M/B one at a time to find out
which part is causing the problem.
To be continued
Clock and reset checking
No
104
8640 N/B Maintenance
8.4 VGA Controller Failure LCD No Display
When LCD without back light or LCD Change dark
24
U519
25
45
BLADJ
L511
4
L515
H8/F3437
2
+5VS
L516
11
+5VAS
L514
ENPBLT
J13
Inverter
connector
1
From SIS302
or NVidia
FN+F6 THE LCD CHANGE DARK
FN+F7 THE LCD CHANGE BRIGHT
FN+F5 SELECT USE LCD OR MONITOR
FN+F8 CONTROL LCD BRIGHT MODEL
105
8640 N/B Maintenance
8.4 8640S(Silver)VGA Controller Failure LCD No Display
There is no display or picture abnormal on LCD or monitor.
VBD[0:11]
6
9
VAD[0:11]
R 26
22
VBVSYNC
R 25
R 17
22
22
VBHSYNC
R 12
22
VAHSYNC
R 539
VAVSYNC
22
U9
TXOUT2 [-,+]
TXOUT12 [-,+]
TX2OUT0 [-,+]
TX2OUT0 [-,+]
TX2OUT1 [-,+]
TX2OUT21 [-,+]
TX2OUT2 [-,+]
TX2OUT2 [-,+]
TX2CLK [-,+]
TX2CLK [-,+]
VBCTL[0:1]
R 564
R 553
0
0
VBHCLK
VBCAD
U7
VBCLK
22
TXOUT11 [-,+]
TXCLKOUT1 [-,+]
0,0
R 136
TXOUT1 [-,+]
VBGCLK
R 530,R541
SIS651
TXOUT10[-,+]
VAGCLK
VBDE
VADE
North Bridge
TXOUT0[-,+]
12
J11
LCD CONNECT
TXCLK1 [-,+]
LCD
LCD_ID0
TV/LVDS
ENCODER
L13
+3VS
C43
0.1U
C96
10U
LCD_ID1
LCD_ID2
PR2
10K*4
SIS302LV
L1
120Z/100M
L512
+3VS
L518
C576
0.1U
C606
0.1U
F1
MIRCOSMDC110
Q3
NDS9410
C568
10U
C573
0.1U
C588
10U
8
7
6
5
C579
0.1U
3
2
1
C24
0.1U
4
JP_NET20
+12V
+3VS
R18
470K
Q4
DTC144TKA
ENAVDD
106
8640 N/B Maintenance
8.4 8640G(Gold)VGA Controller Failure LCD No Display
There is no display or picture abnormal on LCD or monitor.
11
6
VBD[0:11]
12
VAD[0:11]
R 26
22
VBVSYNC
R 25
R 17
22
22
VBHSYNC
R 12
22
VAHSYNC
R 539
VAVSYNC
R 530,R541
0,0
VBCTL[0:1]
R 564
R 553
0
0
VBHCLK
VBCAD
R 136
TXOUT1 [-,+]
TXOUT11 [-,+]
TXOUT2 [-,+]
TXOUT12 [-,+]
U6
VBCLK
22
SIS645DX
AGP_AD[0:31]
nVIDIA
MAP17
AGP_FRAME#
TX2OUT0 [-,+]
TX2OUT0 [-,+]
TX2OUT1 [-,+]
TX2OUT21 [-,+]
TX2OUT2 [-,+]
TX2OUT2 [-,+]
TX2CLK [-,+]
TX2CLK [-,+]
LCD
LCD_ID0
LCD_ID1
LCD_ID2
AGP_VREF
AGP_GNT#
AGP_REQ#
J11
LCD CONNECT
TXCLK1 [-,+]
TXCLKOUT1 [-,+]
VADE
North Bridge
TXOUT10[-,+]
VBGCLK
22
VAGCLK
VBDE
U9
TXOUT0[-,+]
LCDVCC
U6
PR2
10K*4
L1
120Z/100M
AGP_IRDY#
AGP_TRDY#
AGP_DEVSEL#
AGP_STOP#
AGP_PAR
PCIRST#
FROM SOUTH&
CLOCK GEN
F1
MIRCOSMDC110
Q3
NDS9410
8
7
6
5
66M AGP
3
2
1
+3VS
U503
3
AVDD
12
19
16
FOUT
R580
22
XTALSSIN
1
OVDD
REFOUT
+12V
X1 27MHZ
DVDD
R1
C24
0.1U
4
15
20
SM530
R18
470K
3
2
REFOUT
C40
18P
C38
18P
+3VS
Q4
DTC144TKA
ENAVDD
ALT CLK GEN W245-30 CAN 12C CONTROL
107
8640 N/B Maintenance
8.4 VGA Controller Failure LCD No Display
There is no display or picture abnormal on LCD or monitor.
VGA Controller Failure
Board-level
Troubleshooting
1.Confirm LCD is good and check the cable
are connected properly.
2. Try another known good LCD
Display
OK?
Yes
Replace faulty
LCD
Replace
Motherboard
One of the following parts on the mother-board may be
defective, use an oscilloscope to check the following signal or
replace the parts one at a time and test after each replacement.
Parts:
No
Remove all the I/O device & cable from
motherboard except extended LCD.
Display
OK?
No
Yes
Connect the I/O device & cable
to the M/B one at a time to find
out which part is causing the
problem.
U9
U7
J23
U6
U503
R26
R25
R17
R12
R539
R530
R541
R564
R553
R136
R580
X1
C40
C38
Q3
Q4
F1
L1
J11
Signals:
PANEL_ID[0:3]
ENABLE_BL
PSON
+VMAIN_P
TXCLKOUT[+:-]
TXOUTCLK2[+:-]
TXOUT[0:2][+:-]
TXOUT2[0:2][+:-]
VDD5S
BLADJ
108
8640 N/B Maintenance
8.5 8640S(Silver)&8640G(Gold)VGA Controller Failure TV No Display
There is no display or picture abnormal on TV.
RP505
75*4
C17 33P
12
9
41 TV_COMP
TV_COMP
L7
C513
U7
45
SIS302LV
Chrontel
CH7019
33P
TV_CRMA
TV_CRMA
L510
C15 33P
43
J3 S-VIDEO
TV_LUMA
TV_LUMA
L8
C503
270P
C4
270P
C22
100P
C5
270P
L501
C20
100P
C519
100P
(8640S Silver)
RP505
C17 33P 75*4
11
TV_LUMA
TV_COMP
L7
U6
nVIDIA
MAP17
12
C513
33P
TV_CRMA
TV_CRMA
L510
C15 33P
TV_COMP
J3
S-VIDEO
TV_LUMA
L8
C503
270P
(8640G Gold)
C4
270P
C22
100P
C5
270P
L501
C519
100P
C20
100P
109
8640 N/B Maintenance
8.5 VGA Controller Failure TV No Display
There is no display or picture abnormal on TV.
TV Controller Failure
Board-level
Troubleshooting
Confirm TV is good and check the cable
are connected properly.
Display
OK?
One of the following parts on the mother-board may be
defective, use an oscilloscope to check the following signal or
replace the parts one at a time and test after each replacement.
Yes
Replace faulty cable
Parts:
No
Replace Motherboard.
U7
U6
J3
RP505
C17
L7
C513
L510
C15
L8
L501
C504
C4
C5
C22
Signals:
C519
C20
TV_COMP
TV_CRMA
TV_LUMA
110
8640 N/B Maintenance
8.6 8640S(Silver)VGA Controller Failure Monitor No Display
There is no display or picture abnormal on monitor.
+5VS
CP502
22P*4
F501
mircoSMDC110
6
D503
EC11FS2
12
1
CRT_RED
L502
120Z/100M
CRT_GREEN
L503
120Z/100M
2
CRT_BLUE
L504
120Z/100M
3
U9
9
+5VS
SIS651
VGA
Connector
C501
10U
North Bridge
FA501
G
CRT_DDDA
S
CRT_HSYNC
G
CRT_DDCK
S
CRT_VSYNC
R4
2.2K
D Q1
2N7002
D Q2
2N7002
4
5
12
3
6
13
1
8
14
2
7
15
R3
2.2K
+3VS
J6
+3V
CP502
22P*4
R502
1K
CRT_IN#
From sis962
5
R501
10K
C502
100P
111
8640 N/B Maintenance
8.6 8640G(Gold)VGA Controller Failure Monitor No Display
There is no display or picture abnormal on monitor.
+5VS
CP502
22P*4
F501
mircoSMDC110
6
D503
EC11FS2
11
AGP_AD[0,31]
AGP_CBE[0,3]
AGP_FRAME#
U9
North Bridge
SIS645DX
AGP_IRDY#
AGP_TRDY#
AGP_DEVSEL#
AGP_STOP#
CRT_RED
L502
120Z/100M
CRT_GREEN
L503
120Z/100M
CRT_BLUE
L504
120Z/100M
U6
2
3
9
+5VS
nVIDIA
MAP17
12
1
VGA
Connector
C501
10U
FA501
G
CRT_DDDA
AGP_PAR
CRT_HSYNC
AGP_VREF
CRT_DDCK
S
D Q2
2N7002
S
CRT_VSYNC
R4
2.2K
D Q1
2N7002
G
J6
4
5
12
3
6
13
1
8
14
2
7
15
R3
2.2K
5
CP502
22P*4
+3VS
+3V
R502
1K
R501
10K
CRT_IN#
FROM SIS962
C502
100P
112
8640 N/B Maintenance
8.6 VGA Controller Failure Monitor No Display
There is no display or picture abnormal on monitor.
VGA Controller Failure
1.Confirm monitor is good and check the cable
are connected properly.
2. Try another known good monitor
Display
OK?
Yes
Replace faulty
monitor.
Board-level
Troubleshooting
Replace
Motherboard
One of the following parts on the mother-board may be
defective, use an oscilloscope to check the following signal or
replace the parts one at a time and test after each replacement.
No
Parts:
Remove all the I/O device & cable from
motherboard except extended monitor.
Display
OK?
Yes
Connect the I/O device & cable
to the M/B one at a time to find
out which part is causing the
problem.
U9
U6
L502
L503
L504
D503
F501
Q1
Q2
FA501
R501
R502
J6
Signals:
RED
GREEN
BLUE
DDDA
HSYNC
VSYNC
DDCK
CRT_IN#
No
113
8640 N/B Maintenance
8.7 Keyboard (K/B) Touch-Pad (T/P) Test Error
Error message of keyboard or touch-pad failure is shown or any key does not work.
FA503
120OHM/100MHZ
H8_VDD5
+5VA
L537
22
24
120Z/100M
36
9,59,4
F505
MINISDMDC110
L519
120Z/100M
PS/2 CONNCTOR
24
KM_CLK
KM_CLK
1
8
11
M_CLK
2
7
M_CLK
68
KM_DATE
3
6
KM_DATE
58
M_DATE
4
5
M_DATE
20
4,9.59
+5V
J15
37
U512
87
IRQ1
53
86
IRQ12
54
83
IOR#
96
82
IOW#
97
SD[0:7]
LPC
Super IO
93
93
SA2
CP507
47P*4
37
U519
36
J21
U527
Micro
Controller
Micro
Controller
KO[0:15]
Internal Keyboard
Connector
KI[0:7]
+5V F1
+5VA
72
7
ISA_ROMCS#
73
11
ISA_MCCS#
Level Shift
U516
PC87393
J501
L3
0.25A
H8/F3437
R765
10K
6
R772
10K
H8/F3437
H8_KBCS# 95
C582
1000P
PJ11 PJ501
10
23
T_CLK
L2
120Z/100M
Touch-pad
59
18
T_DATA
L1
120Z/100M
Connector
2
C1
47P
2
C2
47P
+5V
10
H8_MCCS#
98
98
3
3
74CBTD3384
R768
1M
X508
C807
68P
D2
SW3
16MHz
C806
68P
SW2
BTB/CD-ROM BD
D1
114
8640 N/B Maintenance
8.7 Keyboard (K/B) Touch-Pad (T/P) Test Error20
Error message of keyboard or touch-pad failure is shown or any key does not work.
Keyboard or Touch-Pad
Test Error
Board-level
Troubleshooting
Is K/B or
T/P cable connected to
notebook
properly?
No
Correct it.
One of the following parts or signals on the motherboard
may be defective, use an oscilloscope to check the signals or
replace the parts one at a time and test after each replacement.
Replace
Motherboard
Yes
Parts
Try another known good Keyboard
or Touch-pad.(Internal or external)
Test
Ok?
Yes
Replace the faulty
Keyboard or
Touch-Pad
U524
U16
U527
L537
X508
C807
C808
R768
PJ1
PJ501
Signals
L2
L1
F1
L3
J21
J501
SW3
SW2
D2
D3
ISA IRQ1
ISA IRQ12
ISA IOR#
H8_ISA _IOW#
ISA SD[0:7]
H8_KBCS#
H8_MCCS#
KO[0:15]
KI[0:7]
T_CLK
T_DATA
ISA_MCCS#
ISA_ROMCS#
No
115
8640 N/B Maintenance
8.8 Hard Drive Test Error
Either an error message is shown, or the driver motor continues spinning, while reading data is from or
writing data is to hard drive.
+5VS
41.42
+5VS
C95
0.1U
R708
4.7K
15
C93
0.1U
18
C94
0.1U
PIORDY
27
PCIRST#
ISA IRQ14
1
R790
IRQ14
0
U515
South-Bridge
SIS692
IDE_PDD [0:15]
RP527
0*8
31
R702
10K
RP526
0*8
R731
4.7K
DDP [0:15]
J16
IDE_PDDREQ
R792
0
PDDREQ
21
IDE_PDIOW#
R796
0
PDIOW#
23
IDE_PDDACK#
R795
0
PDDACK#
29
IDE_PDIOR#
R168
0
PDIOR#
25
IDE_PDA0
RP9
0*4 1
8
PDAP0
35
IDE_PDA1
2
7
PDAP1
33
IDE_PDA2
3
6
PDAP2
36
IDE_PDCS#3
4
5
CS3P#
38
CS1P#
37
IDE_PDCS#1
R794
0
J14
IDE_LED#
IDE_LED#
HARD DRIVE
CONNECTOR
39
To Easy Button Board
116
8640 N/B Maintenance
8.8 Hard Drive Test Error
Either an error message is shown, or the driver motor continues spinning, while reading data is from or
writing data is to hard drive.
Hard Driver
Test Error
Board-level
Troubleshooting
Check if BIOS setup and The system
driver for proper installation
Re-boot
OK?
One of the following parts or signals on the motherboard may be
defective, use an oscilloscope to check the signals or replace the parts
one at a time and test after each replacement.
Yes
Replace
Motherboard
No
Use another cable or hard driver
Re - Test
OK?
No
PARTS:
End
Yes
Replace the faulty parts.
U515
R791
R790
RP548
RP549
R792
R168
R795
R794
RP9
R708
J16
SIGNALS:
PCIRST
IDE PIORDY
IRQ14
IDE_PDD [0:15]
IDE_PDDREQ
IDE_PDIOW#
IDE_PDDACK# IDE_PDIOR#
IDE_PDA0
IDE_PDA1
IDE_PDA2
IDE_PDCS#3
IDE_PDCS#1
DDP [0:15]
PDDREQ
PDIOW#
PDDACK#
PDIOR#
PDAP0
PDAP1
PDAP2
CS3P#
CS1P#
117
8640 N/B Maintenance
8.9 CD-ROM Drive Test Error
An error message is shown when reading data from CD-ROM drive.
+5VS
BTB/CD-ROM BD
15
R56
0K
U5
20
ALC202
18
19
CDROM_RIGHT
CDROM_LEFT
CDROM_COMM
6.8K
2
R33
6.8K
1
R34
6.8K
3
PJ501
PJ1
PCI_RESET#
IDE_SIORDY
R788
0
IDE_IRQ15
R164
0
U515
RP547
0*8
RP20
0*8
38
R32
1
1
5
27
27
27
31
31
IRQ15
29
R197
10K
R196
4.7K
+5VS
South-Bridge
IDE_SDD [0:15]
SIS692
IDE_SDDREQ
R787
0
21
21
SDDREQ
22
IDE_SDIOW#
R789
0
23
23
SDIOW#
25
IDE_SDDACK#
R788
0
29
29
SDDACK#
28
25
SDIOR#
24
33
IDE_SDIOR#
R165
0
25
RP523
0*4
IDE_SDA0
J502
SDD [0:15]
CD-ROM
Connector
1
8
35
35
DAS0
IDE_SDA1
2
7
33
33
DAS1
31
IDE_SDA2
3
6
20
20
DAS2
34
IDE_SDCS#3
4
5
22
22
CS3S#
36
37
CS1S#
35
IDE_SDCS#1
R773
37
0
R198
4.7K
IDE_LED#
37
IDE_LED#
To Easy Button Board
118
8640 N/B Maintenance
8.9 CD-ROM Drive Test Error
An error message is shown when reading data from CD-ROM drive.
CD-ROM Driver
Test Error
Board-level
Troubleshooting
1. Try another known good compact disk.
2. Check install for correctly.
One of the following parts or signals on the motherboard may be
defective, use an oscilloscope to check the signals or replace the parts
one at a time and test after each replacement.
Yes
Test
OK?
Replace the faulty parts.
PARTS:
No
Replace
Motherboard
Check the CD-ROM driver for proper
installation.
Yes
Re - Test
OK?
No
End
U515
U5
J502
R32
R33
R34
R788
R164
R878
R789
R788
R165
R773
R40
RP523
PJ501
PJ1
SIGNALS:
PCIRST
IDE SIORDY
ISA IRQ15
IDE_SDD [0:15]
IDE_SDDREQ
IDE_SDIOW#
IDE_SDDACK# IDE_SDIOR#
IDE_SDA0
IDE_SDA1
IDE_SDA2
IDE_SDCS#3
IDE_SDCS#1
RDDS [0:15]
RSDDREQ
RSDIOW#
RSDDACK#
RSDIOR#
PDAS0
PDAS1
PDAS2
RCS3S#
RCS1S#
119
8640 N/B Maintenance
8.10 USB Port Test Error-1
An error occurs when a USB I/O device is installed.
U2
+5V
3
VIN0
4 VIN1
L4
RT9701-CBL
2
USB1VCC5
VCCOUT1
USB0VCC5
VCCOUT0 1
120Z/100M
17
R10
33K
USB_1C1#
C11
1000P
USBCLK_SB
C6
0.1U
C9
10U
10V
R9
47K
J7 21
1
FROM CLOCK GEN
U515
USBP1_N
R733
0
USBP1-
R504
0
D/USBP1-
2
USBP1_P
R729
0
USBP1+
R503
0
D/USBP1+
3
USBP0_P
R720
0
USBP0-
R506
0
D/USBP0-
2
USBP0_N
R715
0
USBP0+
R505
0
D/USBP0+
3
USB2.0
Connector
J7
South-Bridge
SIS962
21
J8
USB2.0
Connector
L509
A4,B4,GND[1:6] 1
R556
33K
USB_1C0#
C54
1000P
R562
47K
C520
10U
GND
C512
0.1U
USB_GND
120
8640 N/B Maintenance
8.10 USB Port Test Error-2
An error occurs when a USB I/O device is installed.
L5
U3
+5V
17
3
VIN0
4 VIN1
5
USB3VCC5
VCCOUT0 1
USB2VCC5
VCCOUT1
RT9701-CBL
120Z/100M
2
R23
33K
USB_OC3#
C26
1000P
C7
0.1U
C10
10U
16V
23
R22
47K
1
USBCLK_SB
USBP3_N
U515
R741
0
USBP3-
R508
0
D/USBP3-
2
USBP3_P
R737
0
USBP3+
R507
0
D/USBP3+
3
USBP4_N
R120
0
USBP4-
R509
0
D/USBP4-
2
D/USBP4+
3
J9
USB2.0
Connector
FROM CLOCK GEN
South bridge
SIS961
USBP4_P
R119
0
R510
0
USBP4+
L6
R11
33K
USB_4C0#
C19
1000P
R13
47K
C13
10U
A4,B4,GND[1:6]
23
J10
USB2.0
Connector
1
C8
0.1U
GND
USB_GND
121
8640 N/B Maintenance
8.10 USB Port Test Error
An error occurs when a USB I/O device is installed.
USB Test Error
Board-level
Troubleshooting
Check if the USB device is installed
properly.
Test
OK?
Check the following parts for cold solder or one of the following parts
on the mother-board may be defective, use an oscilloscope to check the
following signal or replace the parts one at a time and test after each
replacement.
Yes
Correct it
Replace
Motherboard
No
Replace another known good USB device.
Re-test
OK?
Parts:
U515
U2
J7
J8
R733
R729
R720
R715
L518
L4
Yes
Correct it
C512
C520
R506
R503
R505
R504
C6
C9
J9
J10
U526
U3
Signals:
R741
R737
R120
R119
L5
L522
C7
C8
USBP[0:4]_N
USBOC0#
USBP[0:4]_P
D/USBP[0:4]-
USB3VCC5
D/USBP[0:4] +
USB0VCC5
USB1VCC5
No
122
8640 N/B Maintenance
8.11 PIO Port Test Error
When a print command is issued, printer prints nothing or garbage.
+5VS
U501
RP503
0*4
22
54
P_STB#
53 P_AFD#
21
12
13
PP_STB#
11
14
STB#
1
10
15
AFD#
14
2
15
8
1
7
2
PP_AFD#
J5
52 P_LPD0
6
3
PP_LPD0
9
16
LPD0
P_ERR#
5
4
PP_ERR#
8
17
ERR#
RP504
0*4 8
1
PP_LPD1
7
18
LPD1
3
7
2
PP_INIT#
6
19
INIT#
16
6
3
PP_LPD2
5
20
LPD2
4
5
4
PP_SLIN#
4
21
SLIN#
17
PP_LPD3
3
22
LPD3
5
2
1
23
24
51
50 P_LPD1
49 P_INIT#
48
P_LPD2
47 P_SLIN#
U512
D501
PAC128401Q
46
P_LPD3
LPC
Super I/O
C523
22P
R527
0
PIO Connector
PRINTER
U502
PAC128401Q
PC87393
45 P_LPD4
RP502
0*4
12
13
8
1
P_LPD4
11
14
LPD4
6
44 P_LPD5
7
2
P_LPD5
10
15
LPD5
7
43 P_LPD6
6
3
P_LPD6
9
16
LPD6
8
42 P_LPD7
5
4
P_LPD7
8
17
LPD7
9
41 P_ACK#
8
1
P_ACK#
7
18
ACK#
10
40 P_BUSY
7
2
P_BUSY
6
19
BUSY
11
37 P_PE
6
3
P_PE
5
20
PE
12
26 P_SLCT
5
4
P_SLCT
4
21
SLCT
13
3
22
X
23
24
RP506
0*4
X
2
1
GND_IO2
GND_IO2
123
8640 N/B Maintenance
8.11 PIO Port Test Error
When a print command is issued, printer prints nothing or garbage.
PIO Test Error
Board-level
Troubleshooting
1. Check if PIO device is installed
properly. (J5)
2. Check CMOS LPT port setting properly.
Yes
Test
OK?
Correct it
No
Try another known good
PIO device.
Yes
Replace the
PIO Device.
No
Re - Test
OK?
Yes
End
Replace
Motherboard
One of the following parts or signals on the motherboard may be
defective, use an oscilloscope to check the signals or replace the parts
one at a time and test after each replacement.
PARTS:
U512
U501
U502
R527
RP504
RP503
RP502
RP501
J5
SIGNALS:
PRD[0:7]
D/LPD[0:7]
D/SLIN#
D/INIT#
D/SLCT
D/PE
D/BUSY
D/STB#
D/ERR#
D/AFD#
D/ACK#
PRN LPD[0:7]
PRN SLIN#
PRN INIT#
PRN SLCT
PRN PE
PRN BUSY
PRN STB#
PRN ERR#
PRN AFD#
PRN ACK#
No
124
8640 N/B Maintenance
8.12 PC-Card Socket Failure
An error occurs when a PC card device is installed.
+12VS +5VS
R184
0
+3VS
63
AUX_VCC
PCI_AD [0:31]
SDAT 73
VCC5_EN#
SCLK 74
VCC3_EN#
SLAT
VPP_VCC
IDSEL 13
AD20
5,6, 3,4,1,6
19
15
+3V
1
2
71
VPPD0
15
72
VPPD1
14
IDSEL
19
VCCD0
VCCD1
U11
R625
10K
OC#
AVCC
AVPP
VDDP0
TPS2211
VDDP1
CARD BUS PCMCIA
SOCKET
8
11.12.13
VCCA
17.51.33
10
VPPA
18,52
CAD9
R173
0
8
CAD12
R176
0
10
CAD [0:31]
R189
100
106
CGNT#
15
132
CINT#
16
103
CBLOCK#
48
PCI_C/BE# [0:3]
PCI_REQ0#
U515
South-bridge
SIS962
1
PCI_FRAME#
28
PCI_ IRDY#
29
PCI_ TRDY#
31
PCI_ DEVSEL#
32
33
PCI_CARD_CLK
U12
Card Bus
Controller
PCI_ PCIINTB#
PCI_ PCIRST#
R186
123
CREQ#
111
CFRAME#
54
110
CIRDY#
20
109
CTRDY#
53
107
CDEVSEL#
50
105
CSTOP#
49
119
CRST#
58
101
CPAR
104
CPERR#
14
133
CSERR#
59
21
From Clock GEN
0
J19
CC/BE# [0:3]
PCI1410
PCI_ STOP#
19
60
13
60
66
PCI_ GNT0#
2
PCI_ SERR#
35
PCI_ PERR#
34
125
8640 N/B Maintenance
8.12 PC-Card Socket Failure
An error occurs when a PC card device is installed.
PC Card and
Test Error
Board-level
Troubleshooting
1. Check if the PC CARD device
is installed properly.
2. Confirm PC card driver is installed ok.
Test
OK?
Yes
Correct it
Replace
Motherboard
Check the following parts for cold solder or one of the following
parts on the mother-board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
No
Parts:
Try another known good
PC card device.
Re-test
OK?
Yes
Change the faulty
part then end.
U515
U12
U11
J19
R699
R681
R180
R173
R176
R625
Signals
AD[0:31]
REQ0#
IRDY#
DEVSEL#
PCIINTB#
GNT0#
PERR#
VCC3_EN#
VPPD1
C/BE#[0:3]
FRAME#
TRDY#
STOP#
PCIRST#
SERR#
VCC5_EN#
VPPD0
No
126
8640 N/B Maintenance
8.13 MINI-PCI Socket Failure
An error occurs when a MINI-PCI device is installed.
+3VS
WISE_LED#
R60
10K
Q5
To Easy Button Board
+5VS
DTC144TKA
R109
0
15
18.97
23
PCI_AD[0:31]
MPCI_PD
13
PCI_REQ[2:3]
U515
South-Bridge
SIS962
21.29
PCIRST#
26
PCI_FRAME
64
PCI_TRDY#
66
PCI_STOP#
68
PCI_DEVSEL#
72
PCI_IRDY#
61
CLKRUN#
65
PCI_SERR#
67
PCI_PERR#
71
JS2
+3VS
MPCIACT#
+3V
R191
10K
D
Q19
S12302DS
S
J503
MINI-PCI Connector
24,124
122
G
+5VS
127
8640 N/B Maintenance
8.13 MINI-PCI Socket Failure
An error occurs when a PC card device is installed.
MINI-PCI Card and
Test Error
Board-level
Troubleshooting
1. Check if the MINI-PCI CARD device
is installed properly.
2. Confirm MINI PCI driver is installed ok.
Test
OK?
Yes
Correct it
Replace
Motherboard
Check the following parts for cold solder or one of the following
parts on the mother-board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
No
Parts:
Try another known good
MINI-PCI card device.
Re-test
OK?
Yes
Change the faulty
part then end.
U515
J503
Q5
Q19
R767R60
R109
R191
Signals
AD[0:31]
REQ2#
IRDY#
DEVSEL#
PCIRST#
SERR#
PERR#
C/BE#[0:3]
FRAME#
TRDY#
STOP#
+5VS
CLKRUN#
No
128
8640 N/B Maintenance
8.14 IEEE1394 Failure
An error occurs when 1394 device is installed.
1394VCC3
21
l526
30.31.43.50
+3VS
15
7.17.62.26
+3VS
MINI 1394
1394_CTL0
1394_CTL1
U515
South_Bridge
SIS962
21
TPB1-
R8
0
TPB1-
TPB1+
R7
0
TPB1+ 2
35
TPA1-
R6
0
TPA1-
36
TPA1+
R5
0
TPA1+ 4
33
1394_[D0:D7]
34
LINKON
18
SCLK_1394
63
U509
1
LREQ
LPS
16
R640
56
R639
56
R638
4.99K
C628
220P
R641
56
1
3
J2
R642
56
IEEE1394
FW803
60
C615
0.33U
37
X0
59 X1
X504
C662
12P
24.576
MHZ
C656
12P
RESET
61
1394_RESET#
C668
0.1U
129
8640 N/B Maintenance
8.14 IEEE1394 Failure
An error occurs when 1394 device is installed.
IEEE1394 Test Error
Board-level
Troubleshooting
1. Check if the 1394 device is installed
properly.
2. Confirm 1394 driver is installed ok.
Replace
Motherboard
Test
OK?
Yes
Correct it
No
Signals
Parts:
Try another known good
1394 device.
Re-test
OK?
Check the following parts for cold solder or one of the following
parts on the mother-board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
Yes
Change the faulty
part then end.
U525
U509
X504
R8
R7
L3
L2
R6
R5
C668
C628
R639
R638
R641
J2
C662
C656
PCI_AD[0~31]
PCI_DEVSEL#
PCI_TRDY#
PCI_STOP#
PCI_INTC#
GDROM_SDA
1394 CLK
TPB1N
TPA1N
PCI_CBE#[0~3]
PCI_IRDY#
PCI_FRAME#
PCI_REQ# 1
PCI_RESET#
GDROM_SCL
TPB1P
TPA1P
TPBIAS1
No
130
8640 N/B Maintenance
8.15 Audio Failure
No sound from speaker after audio driver is installed.
+3VS
+12VS
C27
0.01U
BTB/CDROM BD
L6
120Z/100M
OUT0
2
AVDDAD
OUT1
3
NR
4
ON MOTHER BOARD
GND
C25
0.1U
C22
0.1U
JS1
U4
1
C35
0.1U
R24
8
IN0 7
IN1
6
ERR
SD 5
330K
25,38
1,9
2
3
PJ1
15
C41
0.1U
PJ501
AC97_RESET
45
45
11
AC97_SDOUT
47
47
5
AC97_SDIN
49
49
SouthBridge
AC97_SYINC
51
51
AC97_BITCLK 53
22
53
U11
6
SIS962
SB_SPKR
R38
2.7K
AVDDAD
5
4
PC_BEEP
21
MIC
C30
1U
L25
600Z/100M
MIC_3
3
MIC_2
2
PJ501
5
1
4
3
U12
PCI1410
R19
100K
ALC202
C21
0.1U
L23
600Z/100M
C69
220P
J502
CDROM
Connector
18 CDROM_L
C32
1U
R33
6.8K
20 CDROM_R
C33
1U
R32
6.8K CDROM_RIGHT 2
CDROM_LEFT 1
2
62 CARDSPK#
U3
NC7S32
SC70/SOT70
R34
R14
20K
19 CDROM_GND
R16
10K
2
14.318MHz_
AUDIO
Extend MIC
1
AC’97
U5
CODEC
AC’97
ALC201
CODEC
12
PJ1
J508
MIC
J505
C48
2.2U
8
22
1
R39
C61
1U
10
R21
3
MIC_VREF 2.2K
U515
R20
JO504
C40
10U
R23
C31
6.8K
CDROM_GND 3
1U
R27
100k
R30
100k
R31
100K
0
131
8640 N/B Maintenance
8.15 Audio Failure
No sound from speaker after audio driver is installed.
5V_AMP
5V_AMP
5V_AMP
4
R51
10K
From Audio
Code
4
R53
20K
R49
20K
C66
0.33
R52
20K
U6
10 RIGHT_IN
AOUT_R
9
RIGHT_DOCK
19
LGAIN1 18
LGAIN2
17
LOUT+
15
LOUT28
ROUT+
26
R47 15K
R46
3
10
8
2
5
9
C71
0.1U
7
DC_VOL
16.
7
27
R
C501
100U
R67
22
R59
1K
C72
100P
R68
22
C73
100P
5V_AMP
C59
0.1U
DEVICE
_DECT
C70
0.1U
+5VS
J506
L21
L22
5
4
3
L20
C67
0.1U
J503
2
1
3
4
L18
2
1
R66
4.7K
5V_AMP
L24
JO501
LED
8
L16
SPDIFOUT
Drive
7
9
5V_AMP
Q1
S12301DS
MUTE
5,2
R64
4.7K
R69
R95
Q506
DTC144TKA
PJ501
52
PJ1
0
+3VS
AMU_ MUTE
+3VS
L
L
L503
R43
20K
Q3
DTC144TKA
Internal
Speaker
L504
1
R73
120
R57
0
L501
C63
0.068U
LM4835VDD
MTE
6.
DEVICE_DECT
L502
SPKLOUT+
R65
100K
0
C502 100U
20K
20K
SPKLOUT-
RGAIN1
RGAIN2
5V_AMP
VR501
10K
R57
R37
25
R58
0
6
GAIN_SL
SPKROUT+
SPKROUTR45
R48
ROUT20K
15K
24
R50
20K
5V_AMP
3
R63
10K
R44
100K
C60 0.068U
LEFT_IN
AOUT_L
HP_SENSE
Mode
113
LEFT/DOCK
3
12
C68
0.33
21
S
10K
Q6
DTC144TKA
From U5
IC
+5VS_SPD
2
1
3
4
J504
D
L12
G
L14
DECT_HP/OPT
SPK_OFF
52
DEVICE_DECT
FROM SOUTH
BRIDGE
Signal
Hi
Signal
HP
OPT
DECT_HP/OPT
L
H
DEVICE_DECT
L
L
Low
SPK_OFF Shut Down Normal
132
8640 N/B Maintenance
8.15 Audio Failure
No sound from speaker after audio driver is installed.
Audio Drive Failure
Board-level
Troubleshooting
1. Check if speaker cables are connected
properly.
2. Make sure all the drivers are installed
properly.
Check the following parts for cold solder or one of the following parts on the T/P board
may be defective,use an oscilloscope to check the following signal
or replace parts one at a time and test after each replacement.
Replace T/p board
Test
OK?
Yes
Correct it.
No
1.If no sound cause
of line out, check
the following
parts & signals:
Parts:
1.Try another known good speaker,
CD-ROM.
2. Exchange another known good
Audio/touch pad/card Read board.
Re-test
OK?
Yes
Correct it.
U515
U5
U12
U5
L6R20
R21
U4
C21
C22
L21
Q3
J504
U6
C68
C66
VR501
L20
J503
L16
L14
L22
Signals:
AOUT_R
AOUT_L
ROUT+ ROUTLOUT+ LOUTLINE_OUT_5
LINE_OUT_2
SPK_OFF
SPDIF
DEVICE DECT#
DECT HP#/OPT
2. If no sound cause
of MIC, check
the following
parts & signals:
Parts:
C30
L23
L25
R38
R39
J505
J508
3. If no sound cause
of CD-ROM, check
the following
parts & signals:
Signals:
Parts:
Signals:
MIC_INT
MIC_EXT
MIC_1
MIC_2
C32
C33
C31
R122
R127
R124
J502
Q1
Q6
CDROM_RIGHT
CDROM_LEFT
CDROM_GND
No
133
8640 N/B Maintenance
8.16 LAN Test Error
An error occurs when a LAN device is installed.
+3V_LAN
L526
+3V
R621
10K
7.14.20.24
.33.45.48
16
LAN_MRX[D0:D3]
C625
0.1U
1
P0AC
31.30.29.28
20
R626
56
LAN_MTX[D0:D3]
RXIN+
R544
0
1
19
RXIN-
R545
0
3
10
LAN -CT
TP_RXN
U515
32
South-Bridge
TP_CT
RXDV
LAN_MRXER
35
LAN_MRXC R688
22
34
LAN_COL
43
LAN_CRS
44
SIS962
LAN_MTXE
38
LAN_MTXC
R687
LAN_DCLK
R690
22
27
LAND_ATEIO
R691
22
26
+3V_LAN
R698
1.5K
22
37
22
7
RXER
U508 TP_TXP 12
RXCLK
TXD+
R535
0
6
TP_TXN 13
TXD-
R536
0
8
COL
LANPHY
CRS
R550
61.9
ICS1893AF
+3V_LAN
TXEN
R623
12.1K
TXCLK
MDC
16
100TCSR
100TCSR
MDIO
15
10TCSR
10TCSR
R622
2K
RESETN
L517
R551
61.9
20
U5
16
PJRX+ 6
14
PJRX- 2
11
PJTX+ 7
9
PJTX- 8
LF_H41S 10
PJ4
4.5
15
PJ7
1.2
R512
75
R514
75
R513
75
J4
LAN
Connector
R511
75
C514
1000P
R624
1.5K
REFIN
REFOUT
46
R665
10K
RJ45
TP_RXP 18
39.40.41.42
LAN_MRXDV
R625
56
47
X505
25MHZ
C649
1U
C675
27P
3
2
4
1
C674
27P
134
8640 N/B Maintenance
8.16 LAN Test Error
An error occurs when a LAN device is installed.
LAN Test Error
Board-level
Troubleshooting
1.Check if the driver is installed properly.
2.Check if the notebook connect with the
LAN properly.
Test
OK?
No
Replace
Motherboard
Check the following parts for cold solder or one of the following
parts on the mother-board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
Parts:
Yes
Correct it.
U515
U508
U5
RJ45
X505
R687
R688
R690
R691
Signals
R535
R536
C675
C674
R621
LAN_MRXD[0:7]
LAN_MRXDV
LAN_MRXER
LAN_MRXC
LAN_MTXE
LAN_MTXC
LAN_DCLK
LAN_DADAIO
LAN_COL
LAN_CRS
CLK25M
PHY_CLK
LAN_CT
LAN_RXP
LAN_RXN
LAN_TXP
LAN_TXN
PJTX+ PJRX+
PJTX- PJRX-
135
8640 N/B Maintenance
8.17 Smart Media&Secure Digital Controller Test Error
An error occurs when a Smart Media&Secure Digital Controller device is installed.
+5V
32
2
2
VCC
MA [0:7]
U502
C14
0.1U
AD [0:7]
A [8:15]
W27C512
OE
PLCC32
CE
25
PSEN_N
23
ALE
103
P34 20
P40 9
127
P46
21
P35
58
P14
Smart Media
P34_SMCE
P40_SMRB
P46_SMWP
P35_SMWP1
MSCD
4
2
9
14
2
13
R74 R80 R79 R75
4.7K 4.7K 4.7K 4.7K
PSEN_N
104 ALE
+3V
P31
126
+3V
Q2
P31_SMSP
SOT24_FET
FDV302P
AD [0:7]
SMVDD
3
JP501
59
USB5+
R10
22
63 DP
61
USB5-
R9
22
62
Connect M/B
SD [0,7]
U2
DM
2
+3V
7
CE
VSS
27
WE 6
+5V
C74
0.1
C75
0.1U
ALE
IOR_N
P37 RD_N
22
P36 WR_N
23
+3V
GND
Vout
Vin
C76
10U
R6
470
+3V
C77
0.1U
+3V
P13
4
2
GND
3
A2
2 A1
1
A0
U1 VCC 8
WO
7
SO8 SCLK 6
NM24C02N
SDA
5
R8
4.7K
P37
P10
P11
SDIO
MD0
110
MD1
38
111
MD2
30
112
MD3
31
113
MCMD
32
128
P47_SDWP
39
107
SDCD
36
24
P10_MSSP
37
25
MSCD
4
MSDIO
P45_I²CSCL
115
P44_I²CSDA
114
P45
C8
12P
Reader Card
Connector
SMVDD
8
29
16
+3V
Q5
P16_SDSP
SOT24_FET
FDV302P
17
XTAL2
XTAL1 16
P44
J507
+3V
Q4
SOT24_FET
FDV302P
P16 7
+3V
R85
10K
109
R5
470
+3V
R7
4.7K
RP3
10K*4
P36
C10
0.1U
R93
0
MD3
MCMD
UT62L256C OE 1
U7
MD1
MD2
PQFP128A
MA [0:7]
U8
21
ND3050
AD[0:7]
VCC
C11
0.1U
MD0
+3V
A [8:15]
MMC\SD
+3V
R11
1.5K
SMVDD 34
Memory Stick
X1
12MHZ
C6
12P
136
8640 N/B Maintenance
8.17 Smart Media&Secure Digital Controller Test Error
An error occurs when a Smart Media&Secure Digital Controller device is installed.
Smart Media Test Error
1.Check if the Smart Media&Secure Digital
Controller device is installed properly.
2. Confirm driver is installed ok.
Board-level
Troubleshooting
Replace
Motherboard
Test
OK?
Yes
Correct it
No
Check the following parts for cold solder or one of the following
parts on the mother-board may be defective, use an oscilloscope
to check the following signal or replace the parts one at a time and
test after each replacement.
Parts:
Try another known good
device.
Re-test
OK?
No
Yes
Change the faulty
part then end.
U502
U2
U8
U2
U1
U7
X1
Q2
Q4
Q5
C78
C77
C75
C74
C10
R8
R7
R3
C9
Signals
P34_SMCE
P35_SMWP1
P40_SMRB
PSEN_N
12CSDA
SMVDD
P47_SDWP
ALE
P37 RD_N
P36 WR_N
12CSCL
SD[0:7]
MD[0:3]
MA[0:7]
AD[0:7]
USBP5+
USBP5P45_I²CSCL
P44_I²CSDA
137
8640 N/B Maintenance
9. Spare Part List-1
8640D Spare Part List
Part Number Description
Location(S)
Part Number Description
Location(S)
541667341007
AK;04-EU,BOX,8640G/P
340673440002
COVER ASSY;8640M
541667343005
AK;05-EU,BAG,8640D/M
340673420005
COVER ASSY;8640P
541667341022
AK;19-UN,BOX,8640G/P
340673400003
COVER ASSY;K/B,8640S
541667340034
AK;31-PR,BOX,8640L T ONGFANG
340673450002
COVER ASSY;LCD 14",8640L-TONGFAN
541667340002
AK;EN,8640S,UTILIT Y ONLY
340673400002
COVER ASSY;LCD 14",8640S
441999900069
BAT T ASSY OPTION;LI,12-CELL,8640
340673440007
COVER ASSY;LCD,15",8640M
442673400004
BAT T ,ASSY;14.8V/6AH,MOLICEL,MSL,
340673400016
COVER ASSY;LCD,15",8640S
441673400028
BAT T ,ASSY;LI-ION,12CELLS/6.0AH,M
340673400008
COVER ASSY;MINI PCI,8640S
340673440019
BEZEL ASSY;DVD-ROM,QSI,8640M
344673400016
COVER;L,HINGE,8640S
340673400021
BEZEL ASSY;DVD-ROM,QSI,8640S
344673400025
COVER;R,HINGE,8640S
340673420004
BRACKET ASSY;T /P,8640P
344673400009
COVER;SPEAKER,8640S
342673400009
BRACKET ;L,LCD,15",8640S
288100054001
DIODE;BAT54,30V,200mA,SOT-23
PD508,PD509,PD510
342673400008
BRACKET ;R,LCD,15",8640S
288100551001
DIODE;RB551V-30,SCHOTTKY,.36V,UM
D507
220673400002
CARRY BAG;N-B,8640
523499991012
DVD ASSY OPTION;8X,8640
221673412002
CARTON;5 IN 1,8640G
523499999067
DVD ASSY OPTION;8X,8640D/M
431673450001
CASE KIT;W/O C/R,8640L
523467340006
DVD ASSY;QUANTA,8640S/G/P
431673410001
CASE KIT;W/Z C/R,8640G
523467343006
DVD ASSY;SDR083,QUANTA,8640D/M
431673440001
CASE KIT;W/Z C/R,8640M
523430061904
DVD DRIVE; 8X,SDR-083,QUANTA
431673420002
CASE KIT;W/Z C/R,8640P GERICOM
227673420003
END CAP;5 IN 1,LOWER,8640P
344673400001
CASE;HDD,8640S
227673410001
END CAP;5 IN 1,UPPER,8640G
413000020348
CFM-GERICOM;LCD,HSD150PX11-B,TFT
227673420001
END CAP;8640P
291000012025
CON;HDR,MA,10P*2,1.27MM,H=11.5,S
481673450004
F/W ASSY;KBD CTRL,8640L
U519
331810006008
CON;MODULAR JACK,FM,6P4C,R/A,FR
481673440002
F/W ASSY;KBD CTRL,8640M
U519
340673410001
COVER ASSY;8640G
481673450003
F/W ASSY;SYS/VGA BIOS,8640L
U513
340673450001
COVER ASSY;8640L
481673440001
F/W ASSY;SYS/VGA BIOS,8640M
U513
J14
138
8640 N/B Maintenance
9. Spare Part List-2
Part Number Description
481673420001
F/W ASSY;SYS/VGA BIOS,8640P GERI
345673400010
Location(S)
U513
Part Number Description
531020237484
KBD;88,UI,K010718U1,8640,DARK BL
GASKET ,HANNSTAR,LCD 15",BOTT OM,1
451673450004
LABEL KIT;8640L TONGFANG
345673400009
GASKET ,HANNSTAR,LCD 15",TOP,15*1
451673410033
LABEL KIT;N-B,8640G
345673450001
GASKET ;M/B,8*5*20,8640L
451673440002
LABEL KIT;N-B,8640M
451673400051
HDD ME KIT ;8640S/G/P
451673420033
LABEL KIT;N-B,8640P
340671600019
HINGE;L,15",8175
242673410001
LABEL;AGENCY-GLOBAL,MSL,8640G
340671600017
HINGE;R,15",8175
242673440001
LABEL;AGENCY-GLOBAL,MSL,8640M
340673440013
HOUSING ASSY,HANNST AR,LCD 15";86
242673420001
LABEL;AGENCY-GLOBAL,MSL,8640P
340673400030
HOUSING ASSY,HANNST AR,LCD 15";86
242671720009
LABEL;AGENCY-GLOBAL,TONGFANG,ID4
340673410003
HOUSING ASSY,QDI,LCD 14";8640G
242673400004
LABEL;BATT 14.8V/6AH,LI,MOLICE,M
340673400004
HOUSING ASSY;8640S
441673430005
LCD ASSY;HANNSTAR,XGA,15",8640D/
340673400027
HOUSING ASSY;BAT TERY,8640S
441673410003
LCD ASSY;HANNSTAR,XGA,15",8640G/
451673410001
HOUSING KIT;8640G
441673450001
LCD ASSY;QDI,XGA,14.1",8640L TON
451673450001
HOUSING KIT;8640L
441673400001
LCD ASSY;QDI,XGA,14.1",8640S/G/P
451673440001
HOUSING KIT;8640M
451673430003
LCD ME KIT;HANNSTAR,XGA,15",8640
451673420001
HOUSING KIT;8640P
451673420002
LCD ME KIT;HANNSTAR,XGA,15",8640
283450083001
IC;FLASH,256K*8-70,PLCC32,ST 39SF
451673450031
LCD ME KIT;QDI,XGA,14.1",8640L T
286303716001
IC;LTC3716,PWM,QSOP,36P
PU9
451673400002
LCD ME KIT;QDI,XGA,14.1",8640S/G
284500302001
IC;SIS302LV,TV ENCODER/LVDS,128P
U7
413000020317
LCD;HSD150PX11-B,TFT,15",LVDS,XG
284500650003
IC;SISM650,N.B.,BGA702
U9
294011200126
LED;BLUE,H=0.8,0603,19-21UBC/C43
286362003001
IC;T PS62003,LOW POWER,MSOP,10P
U520
416267341901
LT PF OPTION;XGA,14.1",8640G
273000135101
INDUCT OR;10UH,10%,1608,SMT
L539
416267344902
LT PF OPTION;XGA,15",8640M
346673400005
INSULAT OR;M/B,8640S
416267344005
LT PF;HANNSTAR,XGA,15",8640M
531020237486
KBD;88,FR,K010718V1,8640,DARK BL
416267342010
LT PF;HANNSTAR,XGA,15",8640P GER
531020237566
KBD;88,UI,K010718Q1,8640,BLACK
416267341002
LT PF;QDI,XGA,14.1",8640G
Location(S)
D2,D3
139
8640 N/B Maintenance
9. Spare Part List-3
Part Number Description
Location(S)
Part Number Description
Location(S)
416267345009
LT PF;QDI,XGA,14.1",8640L TONGFA
411673450003
PWA;PWA-8640L,MOTHER BD,SMT
526267341025
LTXNX;8640G/T4XX/XXC/3UI1/L1D3A/
411673450002
PWA;PWA-8640L,MOTHER BD,T/U
526267345011
LTXNX;8640L/4QXX/XXC/7UIX/L1D4B/
411673450008
PWA;PWA-8640L,T/P BD W/O C/R,SMT
526267344014
LTXNX;8640M/T5XX/XXA/3XX9/L1C3B/
411673450007
PWA;PWA-8640L,T/P BD W/O C/R,T/U
526267342017
LTXNX;8640P/5RXX/XXA/3FR9/L1I3A/
411673440001
PWA;PWA-8640M,MOTHER BD
561567340001
MANUAL KIT;EN,8640G/P,N-B
411673440003
PWA;PWA-8640M,MOTHER BD,SMT
561567340015
MANUAL KIT;EU,8640D/M/L,N-B
411673440002
PWA;PWA-8640M,MOTHER BD,T/U
561567340002
MANUAL KIT;EU,8640G/P,N-B
411673420004
PWA;PWA-8640P,MOTHER BD GERICOM
561567340007
MANUAL;USER'S,EN,8640G/P,N-B
411673420006
PWA;PWA-8640P,MOTHER BD,SMT GERI
561567340027
MANUAL;USER'S,EU,8640D/M/L,N-B
411673420005
PWA;PWA-8640P,MOTHER BD,T/U GERI
561567340008
MANUAL;USER'S,EU,8640G/P,N-B
411673400014
PWA;PWA-8640P,T/P BD,T/U
441673400051
MODEM ASSY,MDC,ASKEY,8640
411673400004
PWA;PWA-8640P/M,T/P BD
242671730008
NAMEPLATE;TONGFANG,ID4,8575
332810000034
PWR CORD;250V/2.5A,2P,BLK,EU,175
461673400009
PACKING KIT;8640,12CELLS,MOLI,ME
332810000102
PWR CORD;250V10A,2P,BLK,CHINA,15
461673440005
PACKING KIT;N-B,5 IN 1,8640M
271071131101
RES;130 ,1/16W,1% ,0603,SMT
R714
461673440002
PACKING KIT;N-B,8640M
271071237311
RES;237K ,1/16W,1% ,0603,SMT
PR13
461673440003
PACKING KIT;N-B,BAG,8640M
271071267211
RES;26.7K,1/16W,1% ,0603,SMT
PR14
221673415003
PARTITION;CARRYING BAG,8640G
271071442311
RES;442K,1/16W,1% ,0603,SMT
PR15
221673415004
PARTITION;IN BAG,8640G
271071563101
RES;56K ,1/16W,1% ,0603,SMT
PR536
222668820004
PE BUBBLE BAG;190X190MM,ANTI-STA
271071604111
RES;6.04K,1/16W,1% ,0603,SMT
R80
411673400005
PWA;PWA-8640,ESB/LED BD
565167340003
S/W;CD ROM,SYSTEM DRIVER,8640S
441673400044
PWA;PWA-8640/BATT GAUGE BD,12S,
340673420002
THERMAL ASSY;8640P
441673400045
PWA;PWA-8640/BATT GAUGE BD,12S,
345673400007
THERMAL PAD;302LV,8640S
441673400046
PWA;PWA-8640/BATT PROTECTION BD
373101713502
T-SCREW;B.M1.7L3.5,HD04t0.25,0,B
411673450001
PWA;PWA-8640L,MOTHER BD
421673420005
WIRE ASSY;LCD,HANN,15",XGA,8640P
P/N:526267343019
140
8640 N/B Maintenance
9. Spare Part List-4
8640G Spare Part List
Part Number Description
Location(S)
Part Number Description
Location(S)
541667341007
AK;04-EU,BOX,8640G/P
340673450001
COVER ASSY;8640L
541667343005
AK;05-EU,BAG,8640D/M
340673440002
COVER ASSY;8640M
541667343009
AK;10-UK,BOX,8640D/M
340673420005
COVER ASSY;8640P
541667340034
AK;31-PR,BOX,8640L T ONGFANG
340673440005
COVER ASSY;KB ,8640M
541667340002
AK;EN,8640S,UTILIT Y ONLY
340673450002
COVER ASSY;LCD 14",8640L-TONGFAN
441999900071
BAT T ASSY OPTION;LI,12-CELL,8640
340673440006
COVER ASSY;LCD 14",8640M
442673400016
BAT T ,ASSY;14.8V/6AH,LI-MOLICEL,M
340673440007
COVER ASSY;LCD,15",8640M
441673400027
BAT T ,ASSY;LI-ION,12CELLS/6.0AH,M
340673400016
COVER ASSY;LCD,15",8640S
340673440009
BEZEL ASSY; QUANT A,COMBO,8640M
340673440004
COVER ASSY;MINI PCI,8640M
340673440019
BEZEL ASSY;DVD-ROM,QSI,8640M
344673440008
COVER;L,HINGE,8640M
340673420004
BRACKET ASSY;T /P,8640P
344673440026
COVER;R,HINGE,8640M
342673400009
BRACKET ;L,LCD,15",8640S
344673440003
COVER;SPEAKER,8640M
342673400008
BRACKET ;R,LCD,15",8640S
288100054001
DIODE;BAT54,30V,200mA,SOT-23
PD508,PD509,PD510
220673400002
CARRY BAG;N-B,8640
288100551001
DIODE;RB551V-30,SCHOTTKY,.36V,UM
D507
221673412002
CARTON;5 IN 1,8640G
523499999067
DVD ASSY OPTION;8X,8640D/M
431673450001
CASE KIT;W/O C/R,8640L
523467343006
DVD ASSY;SDR083,QUANTA,8640D/M
431673430001
CASE KIT;W/Z C/R,8640D
523499999069
DVD COMBO ASSY OPTION;16X10X8X24
431673440001
CASE KIT;W/Z C/R,8640M
523467343010
DVD COMBO ASSY;SBW161,QSI,8640D/
431673420002
CASE KIT;W/Z C/R,8640P GERICOM
523430061906
DVD COMBO DRIVE;16X8X8X24,SBW-16
344673440010
CASE;HDD,8640M
227673420003
END CAP;5 IN 1,LOWER,8640P
413000020348
CFM-GERICOM;LCD,HSD150PX11-B,TFT
227673410001
END CAP;5 IN 1,UPPER,8640G
291000012025
CON;HDR,MA,10P*2,1.27MM,H=11.5,S
227673420001
END CAP;8640P
331810006008
CON;MODULAR JACK,FM,6P4C,R/A,FR
481673450004
F/W ASSY;KBD CTRL,8640L
U519
331810006014
CON;MODULAR JACK,FM,6P4C,R/A,UK
481673440002
F/W ASSY;KBD CTRL,8640M
U519
340673430001
COVER ASSY;8640D
481673450003
F/W ASSY;SYS/VGA BIOS,8640L
U513
J14
141
8640 N/B Maintenance
9. Spare Part List-5
Part Number Description
Location(S)
Part Number Description
481673440001
F/W ASSY;SYS/VGA BIOS,8640M
U513
531020237566
KBD;88,UI,K010718Q1,8640,BLACK
481673420001
F/W ASSY;SYS/VGA BIOS,8640P GERI
U513
531020237577
KBD;88,UK,K010718R1,8640,BLACK
345673400010
GASKET,HANNSTAR,LCD 15",BOTTOM,1
451673450004
LABEL KIT;8640L TONGFANG
345673400009
GASKET,HANNSTAR,LCD 15",TOP,15*1
451673430032
LABEL KIT;N-B,8640D
345673450001
GASKET;M/B,8*5*20,8640L
451673440002
LABEL KIT;N-B,8640M
451673430006
HDD ME KIT;8640D/M
451673420033
LABEL KIT;N-B,8640P
340671600019
HINGE;L,15",8175
242673430001
LABEL;AGENCY-GLOBAL,MSL,8640D
340671600017
HINGE;R,15",8175
242673440001
LABEL;AGENCY-GLOBAL,MSL,8640M
340673400030
HOUSING ASSY,HANNSTAR,LCD 15";86
242673420001
LABEL;AGENCY-GLOBAL,MSL,8640P
340673440013
HOUSING ASSY,HANNSTAR,LCD 15";86
242671720009
LABEL;AGENCY-GLOBAL,TONGFANG,ID4
340673440016
HOUSING ASSY,QDI,LCD 14";8640M
242673400015
LABEL;BATT,14.8V/6AH,LI,MOLICE,M
340673440001
HOUSING ASSY;8640M
441673430005
LCD ASSY;HANNSTAR,XGA,15",8640D/
340673440012
HOUSING ASSY;BATTERY,8640M
441673410003
LCD ASSY;HANNSTAR,XGA,15",8640G/
451673430001
HOUSING KIT;8640D
441673430001
LCD ASSY;QDI,XGA,14.1",8640D/M
451673450001
HOUSING KIT;8640L
441673450001
LCD ASSY;QDI,XGA,14.1",8640L TON
451673440001
HOUSING KIT;8640M
451673420002
LCD ME KIT;HANNSTAR,XGA,15",8640
451673420001
HOUSING KIT;8640P
451673430003
LCD ME KIT;HANNSTAR,XGA,15",8640
283450083001
IC;FLASH,256K*8-70,PLCC32,ST39SF
451673430002
LCD ME KIT;QDI,XGA,14.1",8640D/M
286303716001
IC;LTC3716,PWM,QSOP,36P
PU9
451673450031
LCD ME KIT;QDI,XGA,14.1",8640L T
284500302001
IC;SIS302LV,TV ENCODER/LVDS,128P
U7
413000020317
LCD;HSD150PX11-B,TFT,15",LVDS,XG
284500650003
IC;SISM650,N.B.,BGA702
U9
416267343901
LT PF OPTION;XGA,14.1",8640D
286362003001
IC;TPS62003,LOW POWER,MSOP,10P
U520
416267344902
LT PF OPTION;XGA,15",8640M
273000135101
INDUCTOR;10UH,10%,1608,SMT
L539
416267344005
LT PF;HANNSTAR,XGA,15",8640M
346673400005
INSULATOR;M/B,8640S
416267342010
LT PF;HANNSTAR,XGA,15",8640P GER
531020237486
KBD;88,FR,K010718V1,8640,DARK BL
416267343001
LT PF;QDI,XGA,14.1",8640D
Location(S)
142
8640 N/B Maintenance
9. Spare Part List-6
Part Number Description
Location(S)
Part Number Description
Location(S)
416267345009
LT PF;QDI,XGA,14.1",8640L TONGFA
411673450002
PWA;PWA-8640L,MOTHER BD,T/U
526267343019
LTXNX;8640D/T4XX/XXJ/4UK1/L1D3B/
411673450008
PWA;PWA-8640L,T/P BD W/O C/R,SMT
526267345011
LTXNX;8640L/4QXX/XXC/7UIX/L1D4B/
411673450007
PWA;PWA-8640L,T/P BD W/O C/R,T/U
526267344014
LTXNX;8640M/T5XX/XXA/3XX9/L1C3B/
411673440001
PWA;PWA-8640M,MOTHER BD
526267342017
LTXNX;8640P/5RXX/XXA/3FR9/L1I3A/
411673440003
PWA;PWA-8640M,MOTHER BD,SMT
561567340013
MANUAL KIT;EN,8640D/M/L,N-B
411673440002
PWA;PWA-8640M,MOTHER BD,T/U
561567340015
MANUAL KIT;EU,8640D/M/L,N-B
411673420004
PWA;PWA-8640P,MOTHER BD GERICOM
561567340002
MANUAL KIT;EU,8640G/P,N-B
411673420006
PWA;PWA-8640P,MOTHER BD,SMT GERI
561567340025
MANUAL;USER'S,EN,8640D/M/L,N-B
411673420005
PWA;PWA-8640P,MOTHER BD,T/U GERI
561567340027
MANUAL;USER'S,EU,8640D/M/L,N-B
411673400014
PWA;PWA-8640P,T/P BD,T/U
561567340008
MANUAL;USER'S,EU,8640G/P,N-B
411673400004
PWA;PWA-8640P/M,T/P BD
242671730008
NAMEPLATE;TONGFANG,ID4,8575
332810000043
PWR CORD;250V/3A,2P,BLACK,UK
461673400008
PACKING KIT;8640,12CELLS,MOLI,DI
332810000102
PWR CORD;250V10A,2P,BLK,CHINA,15
461673440005
PACKING KIT;N-B,5 IN 1,8640M
271071131101
RES;130 ,1/16W,1% ,0603,SMT
R714
461673440002
PACKING KIT;N-B,8640M
271071237311
RES;237K ,1/16W,1% ,0603,SMT
PR13
461673440003
PACKING KIT;N-B,BAG,8640M
271071267211
RES;26.7K,1/16W,1% ,0603,SMT
PR14
221673415003
PARTITION;CARRYING BAG,8640G
271071442311
RES;442K,1/16W,1% ,0603,SMT
PR15
221673415004
PARTITION;IN BAG,8640G
271071563101
RES;56K ,1/16W,1% ,0603,SMT
PR536
222668820004
PE BUBBLE BAG;190X190MM,ANTI-STA
271071604111
RES;6.04K,1/16W,1% ,0603,SMT
R80
441673400041
PWA;PWA-8640/BATT GAUGE BD,12S,
565167340003
S/W;CD ROM,SYSTEM DRIVER,8640S
441673400042
PWA;PWA-8640/BATT GAUGE BD,12S,
565167000013
S/W;CD-ROM,B'S RECORDER GOLD2.0
441673400043
PWA;PWA-8640/BATT PROTECTION BD
340673420003
SHIELDING ASSY;TOP,8640P
411673430004
PWA;PWA-8640D/M/L,ESB/LED BD
340673420002
THERMAL ASSY;8640P
411673450001
PWA;PWA-8640L,MOTHER BD
345673400007
THERMAL PAD;302LV,8640S
411673450003
PWA;PWA-8640L,MOTHER BD,SMT
373101712351
T-SCREW;B,M1.7,L2.35,K-HD,2,NIB
143
8640 N/B Maintenance
9. Spare Part List-7
Part Number Description
421673420005
Location(S)
WIRE ASSY;LCD,HANN,15",XGA,8640P
P/N:526267341025
144
8640 N/B Maintenance
9. Spare Part List-8
8640L Spare Part List
Part Number Description
Location(S)
Part Number Description
Location(S)
541667341007
AK;04-EU,BOX,8640G/P
291000154006
CON;FPC/FFC,40P,0.5MM,R/A,ZIF,SM
J507
541667343005
AK;05-EU,BAG,8640D/M
291000012028
CON;HDR,MA,10P*2,1.27MM,H=16MM,S
J14
541667343009
AK;10-UK,BOX,8640D/M
331810006008
CON;MODULAR JACK,FM,6P4C,R/A,FR
541667341022
AK;19-UN,BOX,8640G/P
331810006014
CON;MODULAR JACK,FM,6P4C,R/A,UK
541667341002
AK;EN,8640G/P,UTILITY ONLY
225668300002
CONDUCTIVE TAPE;DIMM COVER,HOPE
541667341002
AK;EN,8640G/P,UTILITY ONLY
340673430001
COVER ASSY;8640D
441999900069
BATT ASSY OPTION;LI,12-CELL,8640
340673410001
COVER ASSY;8640G
442673400004
BATT,ASSY;14.8V/6AH,MOLICEL,MSL,
340673440002
COVER ASSY;8640M
441673400028
BATT,ASSY;LI-ION,12CELLS/6.0AH,M
340673420005
COVER ASSY;8640P
340673440009
BEZEL ASSY; QUANTA,COMBO,8640M
340673400003
COVER ASSY;K/B,8640S
340673400021
BEZEL ASSY;DVD-ROM,QSI,8640S
340673440006
COVER ASSY;LCD 14",8640M
340673400013
BRACKET ASSY;T/P,8640S
340673400002
COVER ASSY;LCD 14",8640S
342673410001
BRACKET;CARD-READER,8640G
340673440007
COVER ASSY;LCD,15",8640M
342673400009
BRACKET;L,LCD,15",8640S
340673400016
COVER ASSY;LCD,15",8640S
342673400008
BRACKET;R,LCD,15",8640S
340673400008
COVER ASSY;MINI PCI,8640S
272073180401
CAP;18P ,CR,25V ,10%,0603,NPO,S
C38,C40
344673400016
COVER;L,HINGE,8640S
272075472701
CAP;4700P,50V ,+ -20%,0603,X7R,S
C36,C522,C526,C533,C536,C537,C
344673400025
COVER;R,HINGE,8640S
220673400002
CARRY BAG;N-B,8640
344673400009
COVER;SPEAKER,8640S
221673412002
CARTON;5 IN 1,8640G
523499991012
DVD ASSY OPTION;8X,8640
431673430001
CASE KIT;W/Z C/R,8640D
523467340006
DVD ASSY;QUANTA,8640S/G/P
431673410001
CASE KIT;W/Z C/R,8640G
523499999069
DVD COMBO ASSY OPTION;16X10X8X24
431673440001
CASE KIT;W/Z C/R,8640M
523467343010
DVD COMBO ASSY;SBW161,QSI,8640D/
431673420002
CASE KIT;W/Z C/R,8640P GERICOM
523430061906
DVD COMBO DRIVE;16X8X8X24,SBW-16
344673400001
CASE;HDD,8640S
227673420003
END CAP;5 IN 1,LOWER,8640P
413000020348
CFM-GERICOM;LCD,HSD150PX11-B,TFT
227673410001
END CAP;5 IN 1,UPPER,8640G
145
8640 N/B Maintenance
9. Spare Part List-9
Part Number Description
227673400001
END CAP;8640S
481673400007
F/W ASSY;CARD READER,8640
481673430002
Location(S)
Part Number Description
Location(S)
286301117031
IC;APL1117,1A,LDO,3.3V,SOT223,3P
U502
283460000003
IC;FLASH,512K*8-70,PLCC32,EN29F0
F/W ASSY;KBD CTRL,8640D
U519
283467340002
IC;FLASH,64K*8-70,PLCC32,W27C512
481673440002
F/W ASSY;KBD CTRL,8640M
U519
286317099001
IC;LTC1709-9,PWM,QSOP,36P
PU9
481673430001
F/W ASSY;SYS/VGA BIOS,8640D
U513
286305248002
IC;MIC 5248-1.2BM5,LV12,LDO REG,
U506
481673440001
F/W ASSY;SYS/VGA BIOS,8640M
U513
284500017004
IC;MOBILITY,MAP17,BGA692
U6
481673420001
F/W ASSY;SYS/VGA BIOS,8640P GERI
U513
284503050002
IC;ND3050,6 IN1 FLASH DEVICE CON
U2
340673400024
FAN ASSY;SUNON,8640S
284503050002
IC;ND3050,6 IN1 FLASH DEVICE CON
U2
421673410003
FPC ASSY;CARD-READER,8640G
284503050002
IC;ND3050,6 IN1 FLASH DEVICE CON
U2
421673410003
FPC ASSY;CARD-READER,8640G
284500645002
IC;SIS645DX,N.B.,BGA702
U9
345673400010
GASKET,HANNSTAR,LCD 15",BOTTOM,1
284500530002
IC;SM530, SPREAD CLOCK,TSSOP20
U503
345673400009
GASKET,HANNSTAR,LCD 15",TOP,15*1
286361256001
IC;UT61L256C-12,SRAM 32K*8,TSOP,
U8
451673400051
HDD ME KIT;8640S/G/P
346673410001
INSULATOR;M/B,8640G
340671600019
HINGE;L,15",8175
531020237486
KBD;88,FR,K010718V1,8640,DARK BL
340671600017
HINGE;R,15",8175
531020237484
KBD;88,UI,K010718U1,8640,DARK BL
340673400030
HOUSING ASSY,HANNSTAR,LCD 15";86
531020237577
KBD;88,UK,K010718R1,8640,BLACK
340673440013
HOUSING ASSY,HANNSTAR,LCD 15";86
451673430032
LABEL KIT;N-B,8640D
340673410003
HOUSING ASSY,QDI,LCD 14";8640G
451673410033
LABEL KIT;N-B,8640G
340673400004
HOUSING ASSY;8640S
451673440002
LABEL KIT;N-B,8640M
340673400027
HOUSING ASSY;BATTERY,8640S
451673420033
LABEL KIT;N-B,8640P
451673430001
HOUSING KIT;8640D
242673430001
LABEL;AGENCY-GLOBAL,MSL,8640D
451673410001
HOUSING KIT;8640G
242673410001
LABEL;AGENCY-GLOBAL,MSL,8640G
451673440001
HOUSING KIT;8640M
242673440001
LABEL;AGENCY-GLOBAL,MSL,8640M
451673420001
HOUSING KIT;8640P
242673420001
LABEL;AGENCY-GLOBAL,MSL,8640P
286308801006
IC;AME8801CEEV,VOL REG.,SOT23-5,
242673400004
LABEL;BATT 14.8V/6AH,LI,MOLICE,M
PU7
U7
146
8640 N/B Maintenance
9. Spare Part List-10
Part Number Description
Location(S)
Part Number Description
242674600010
LABEL;NVIDIA;CAIMAN
561567340002
MANUAL KIT;EU,8640G/P,N-B
441673430005
LCD ASSY;HANNSTAR,XGA,15",8640D/
561567340025
MANUAL;USER'S,EN,8640D/M/L,N-B
441673410003
LCD ASSY;HANNSTAR,XGA,15",8640G/
561567340007
MANUAL;USER'S,EN,8640G/P,N-B
441673430001
LCD ASSY;QDI,XGA,14.1",8640D/M
561567340027
MANUAL;USER'S,EU,8640D/M/L,N-B
441673400001
LCD ASSY;QDI,XGA,14.1",8640S/G/P
561567340008
MANUAL;USER'S,EU,8640G/P,N-B
451673420002
LCD ME KIT;HANNSTAR,XGA,15",8640
441673400051
MODEM ASSY,MDC,ASKEY,8640
451673430003
LCD ME KIT;HANNSTAR,XGA,15",8640
461673400009
PACKING KIT;8640,12CELLS,MOLI,ME
451673430002
LCD ME KIT;QDI,XGA,14.1",8640D/M
461673440005
PACKING KIT;N-B,5 IN 1,8640M
451673400002
LCD ME KIT;QDI,XGA,14.1",8640S/G
461673430001
PACKING KIT;N-B,8640D
413000020317
LCD;HSD150PX11-B,TFT,15",LVDS,XG
461673440003
PACKING KIT;N-B,BAG,8640M
294011200126
LED;BLUE,H=0.8,0603,19-21UBC/C43
221673415003
PARTITION;CARRYING BAG,8640G
416267343901
LT PF OPTION;XGA,14.1",8640D
221673415004
PARTITION;IN BAG,8640G
416267341901
LT PF OPTION;XGA,14.1",8640G
222668820004
PE BUBBLE BAG;190X190MM,ANTI-STA
416267344902
LT PF OPTION;XGA,15",8640M
411673400005
PWA;PWA-8640,ESB/LED BD
416267344005
LT PF;HANNSTAR,XGA,15",8640M
441673400044
PWA;PWA-8640/BATT GAUGE BD,12S,
416267342010
LT PF;HANNSTAR,XGA,15",8640P GER
441673400045
PWA;PWA-8640/BATT GAUGE BD,12S,
416267343001
LT PF;QDI,XGA,14.1",8640D
441673400046
PWA;PWA-8640/BATT PROTECTION BD
416267341002
LT PF;QDI,XGA,14.1",8640G
411673430001
PWA;PWA-8640D,MOTHER BD
526267343019
LTXNX;8640D/T4XX/XXJ/4UK1/L1D3B/
411673430003
PWA;PWA-8640D,MOTHER BD,SMT
526267341025
LTXNX;8640G/T4XX/XXC/3UI1/L1D3A/
411673430002
PWA;PWA-8640D,MOTHER BD,T/U
526267344014
LTXNX;8640M/T5XX/XXA/3XX9/L1C3B/
411673410005
PWA;PWA-8640G/D,T/P BD W/Z C/R,S
526267342017
LTXNX;8640P/5RXX/XXA/3FR9/L1I3A/
411673410004
PWA;PWA-8640G/D,T/P BD W/Z C/R,T
561567340013
MANUAL KIT;EN,8640D/M/L,N-B
411673440001
PWA;PWA-8640M,MOTHER BD
561567340001
MANUAL KIT;EN,8640G/P,N-B
411673440003
PWA;PWA-8640M,MOTHER BD,SMT
561567340015
MANUAL KIT;EU,8640D/M/L,N-B
411673440002
PWA;PWA-8640M,MOTHER BD,T/U
D2,D3
Location(S)
147
8640 N/B Maintenance
9. Spare Part List-11
Part Number Description
Location(S)
Part Number Description
411673420004
PWA;PWA-8640P,MOTHER BD GERICOM
373101713502
T-SCREW;B.M1.7L3.5,HD04t0.25,0,B
411673420006
PWA;PWA-8640P,MOTHER BD,SMT GERI
421673420005
WIRE ASSY;LCD,HANN,15",XGA,8640P
411673420005
PWA;PWA-8640P,MOTHER BD,T/U GERI
274012700401
XTAL;27MHZ,20PPM,16PF,7*5,4P,SMT
411673400014
PWA;PWA-8640P,T/P BD,T/U
411673400004
PWA;PWA-8640P/M,T/P BD
332810000034
PWR CORD;250V/2.5A,2P,BLK,EU,175
332810000043
PWR CORD;250V/3A,2P,BLACK,UK
271071122102
RES;1.2K ,1/16W,1% ,0603,SMT
PR525
271071152302
RES;1.5K ,1/16W,5% ,0603,SMT
R11
271071113001
RES;113 ,1/16W,1% ,0603,SMT
R587
271071222302
RES;2.2K ,1/16W,5% ,0603,SMT
R649,R701,R900,R901
271071224301
RES;220K ,1/16W,5% ,0603,SMT
R14,R20
271071499211
RES;49.9K,1/16W,1% ,0603,SMT
PR536
271071631101
RES;63.4,1/16W,1% ,0603,SMT
R84
271621824301
RP;820K*4,8P,1/32W,5%,1206,SMT
RP1,RP2
565167340001
S/W;CD ROM,SYSTEM DRIVER,8640G/P
565167000013
S/W;CD-ROM,B'S RECORDER GOLD2.0
340673400012
SHIELDING ASSY;TOP,8640S
561860000022
SINGLE PAGE;GN,NOTE FOR BATTERY&
297004010001
SW;PUSH BUTTOM,5P,SPST,12VDC,50m
340673400010
THERMAL ASSY;8640S
345673400005
THERMAL PAD;MOS,CHOKE,8640S
345673400004
THERMAL PAD;MOS,POWER,8640S
288200302001
TRANS;FDV302P,P-CHANNEL,SOT23
Q2,Q4,Q5
328202003001
TRANS;MTD20N03HDL,N-MOSFET,2A,30
PU503
Location(S)
X1
SW2,SW3
P/N:526267345011
148
8640 N/B Maintenance
9. Spare Part List-12
8640M Spare Part List
Part Number Description
Location(S)
Part Number Description
541667341007
AK;04-EU,BOX,8640G/P
225668300002
CONDUCTIVE TAPE;DIMM COVER,HOPE
541667343009
AK;10-UK,BOX,8640D/M
340673430001
COVER ASSY;8640D
541667341022
AK;19-UN,BOX,8640G/P
340673410001
COVER ASSY;8640G
541667340034
AK;31-PR,BOX,8640L TONGFANG
340673450001
COVER ASSY;8640L
541667340002
AK;EN,8640S,UTILITY ONLY
340673420005
COVER ASSY;8640P
441999900069
BATT ASSY OPTION;LI,12-CELL,8640
340673400003
COVER ASSY;K/B,8640S
442673400004
BATT,ASSY;14.8V/6AH,MOLICEL,MSL,
340673450002
COVER ASSY;LCD 14",8640L-TONGFAN
441673400028
BATT,ASSY;LI-ION,12CELLS/6.0AH,M
340673440006
COVER ASSY;LCD 14",8640M
340673440009
BEZEL ASSY; QUANTA,COMBO,8640M
340673400002
COVER ASSY;LCD 14",8640S
340673440019
BEZEL ASSY;DVD-ROM,QSI,8640M
340673400016
COVER ASSY;LCD,15",8640S
340673400021
BEZEL ASSY;DVD-ROM,QSI,8640S
340673400008
COVER ASSY;MINI PCI,8640S
221671640001
BOX;AK,8175
344673400016
COVER;L,HINGE,8640S
340673400013
BRACKET ASSY;T/P,8640S
344673400025
COVER;R,HINGE,8640S
342673400006
BRACKET;L,LCD,14",8640S
344673400009
COVER;SPEAKER,8640S
342673400005
BRACKET;R,LCD,14",8640S
523499991012
DVD ASSY OPTION;8X,8640
221673412002
CARTON;5 IN 1,8640G
523499999067
DVD ASSY OPTION;8X,8640D/M
431673450001
CASE KIT;W/O C/R,8640L
523467340006
DVD ASSY;QUANTA,8640S/G/P
431673430001
CASE KIT;W/Z C/R,8640D
523467343006
DVD ASSY;SDR083,QUANTA,8640D/M
431673410001
CASE KIT;W/Z C/R,8640G
523499999069
DVD COMBO ASSY OPTION;16X10X8X24
431673420002
CASE KIT;W/Z C/R,8640P GERICOM
523467343010
DVD COMBO ASSY;SBW161,QSI,8640D/
344673400001
CASE;HDD,8640S
523430061906
DVD COMBO DRIVE;16X8X8X24,SBW-16
413000020348
CFM-GERICOM;LCD,HSD150PX11-B,TFT
523430061904
DVD DRIVE; 8X,SDR-083,QUANTA
291000012028
CON;HDR,MA,10P*2,1.27MM,H=16MM,S
227673420003
END CAP;5 IN 1,LOWER,8640P
331810006008
CON;MODULAR JACK,FM,6P4C,R/A,FR
227673410001
END CAP;5 IN 1,UPPER,8640G
331810006014
CON;MODULAR JACK,FM,6P4C,R/A,UK
227673400001
END CAP;8640S
J14
Location(S)
149
8640 N/B Maintenance
9. Spare Part List-13
Part Number Description
Location(S)
Part Number Description
Location(S)
227671600008
END CAP;BATTERY,AK BOX,8175
284500302001
IC;SIS302LV,TV ENCODER/LVDS,128P
U7
227671600009
END CAP;FDD,AK BOX,8175
284500650003
IC;SISM650,N.B.,BGA702
U9
481673430002
F/W ASSY;KBD CTRL,8640D
U519
346673400005
INSULATOR;M/B,8640S
481673450004
F/W ASSY;KBD CTRL,8640L
U519
531020237486
KBD;88,FR,K010718V1,8640,DARK BL
481673430001
F/W ASSY;SYS/VGA BIOS,8640D
U513
531020237566
KBD;88,UI,K010718Q1,8640,BLACK
481673450003
F/W ASSY;SYS/VGA BIOS,8640L
U513
531020237484
KBD;88,UI,K010718U1,8640,DARK BL
481673420001
F/W ASSY;SYS/VGA BIOS,8640P GERI
U513
531020237577
KBD;88,UK,K010718R1,8640,BLACK
340673400024
FAN ASSY;SUNON,8640S
451673450004
LABEL KIT;8640L TONGFANG
345673410015
GASKET,QDI,LCD 14",PANEL BOTTOM,
451673430032
LABEL KIT;N-B,8640D
345673450001
GASKET;M/B,8*5*20,8640L
451673410033
LABEL KIT;N-B,8640G
451673400051
HDD ME KIT;8640S/G/P
451673420033
LABEL KIT;N-B,8640P
340671600020
HINGE;L,14",8175
242673430001
LABEL;AGENCY-GLOBAL,MSL,8640D
340671600018
HINGE;R,14",8175
242673410001
LABEL;AGENCY-GLOBAL,MSL,8640G
340673400030
HOUSING ASSY,HANNSTAR,LCD 15";86
242673420001
LABEL;AGENCY-GLOBAL,MSL,8640P
340673410003
HOUSING ASSY,QDI,LCD 14";8640G
242671720009
LABEL;AGENCY-GLOBAL,TONGFANG,ID4
340673440016
HOUSING ASSY,QDI,LCD 14";8640M
242673400004
LABEL;BATT 14.8V/6AH,LI,MOLICE,M
340673400004
HOUSING ASSY;8640S
242669900009
LABEL;BLANK,60*80MM,7170
340673400027
HOUSING ASSY;BATTERY,8640S
441673410003
LCD ASSY;HANNSTAR,XGA,15",8640G/
451673430001
HOUSING KIT;8640D
441673430001
LCD ASSY;QDI,XGA,14.1",8640D/M
451673410001
HOUSING KIT;8640G
441673450001
LCD ASSY;QDI,XGA,14.1",8640L TON
451673450001
HOUSING KIT;8640L
441673400001
LCD ASSY;QDI,XGA,14.1",8640S/G/P
451673420001
HOUSING KIT;8640P
451673420002
LCD ME KIT;HANNSTAR,XGA,15",8640
283450083001
IC;FLASH,256K*8-70,PLCC32,ST39SF
451673430002
LCD ME KIT;QDI,XGA,14.1",8640D/M
286317099001
IC;LTC1709-9,PWM,QSOP,36P
PU9
451673450031
LCD ME KIT;QDI,XGA,14.1",8640L T
286305248002
IC;MIC 5248-1.2BM5,LV12,LDO REG,
U506
451673400002
LCD ME KIT;QDI,XGA,14.1",8640S/G
150
8640 N/B Maintenance
9. Spare Part List-14
Part Number Description
413000021002
LCD;QD141X1LH03-MP01 Rev.B,TFT,1
294011200126
LED;BLUE,H=0.8,0603,19-21UBC/C43
416267343901
Location(S)
Part Number Description
Location(S)
222668820001
PE BAG;ANTI-STATIC,170x270MM,ORC
411673400005
PWA;PWA-8640,ESB/LED BD
LT PF OPTION;XGA,14.1",8640D
441673400044
PWA;PWA-8640/BATT GAUGE BD,12S,
416267341901
LT PF OPTION;XGA,14.1",8640G
441673400045
PWA;PWA-8640/BATT GAUGE BD,12S,
416267342010
LT PF;HANNSTAR,XGA,15",8640P GER
441673400046
PWA;PWA-8640/BATT PROTECTION BD
416267343001
LT PF;QDI,XGA,14.1",8640D
411673430001
PWA;PWA-8640D,MOTHER BD
416267341002
LT PF;QDI,XGA,14.1",8640G
411673430003
PWA;PWA-8640D,MOTHER BD,SMT
416267345009
LT PF;QDI,XGA,14.1",8640L TONGFA
411673430002
PWA;PWA-8640D,MOTHER BD,T/U
526267343019
LTXNX;8640D/T4XX/XXJ/4UK1/L1D3B/
411673410005
PWA;PWA-8640G/D,T/P BD W/Z C/R,S
526267341025
LTXNX;8640G/T4XX/XXC/3UI1/L1D3A/
411673410004
PWA;PWA-8640G/D,T/P BD W/Z C/R,T
526267345011
LTXNX;8640L/4QXX/XXC/7UIX/L1D4B/
411673450001
PWA;PWA-8640L,MOTHER BD
526267342017
LTXNX;8640P/5RXX/XXA/3FR9/L1I3A/
411673450003
PWA;PWA-8640L,MOTHER BD,SMT
561567340013
MANUAL KIT;EN,8640D/M/L,N-B
411673450002
PWA;PWA-8640L,MOTHER BD,T/U
561567340001
MANUAL KIT;EN,8640G/P,N-B
411673450008
PWA;PWA-8640L,T/P BD W/O C/R,SMT
561567340002
MANUAL KIT;EU,8640G/P,N-B
411673450007
PWA;PWA-8640L,T/P BD W/O C/R,T/U
561567340007
MANUAL;USER'S,EN,8640G/P,N-B
411673420004
PWA;PWA-8640P,MOTHER BD GERICOM
561567340008
MANUAL;USER'S,EU,8640G/P,N-B
411673420006
PWA;PWA-8640P,MOTHER BD,SMT GERI
441673400051
MODEM ASSY,MDC,ASKEY,8640
411673420005
PWA;PWA-8640P,MOTHER BD,T/U GERI
242671730008
NAMEPLATE;TONGFANG,ID4,8575
332810000043
PWR CORD;250V/3A,2P,BLACK,UK
461673400009
PACKING KIT;8640,12CELLS,MOLI,ME
332810000102
PWR CORD;250V10A,2P,BLK,CHINA,15
461673440005
PACKING KIT;N-B,5 IN 1,8640M
271071131101
RES;130 ,1/16W,1% ,0603,SMT
R714
461673430001
PACKING KIT;N-B,8640D
271071499211
RES;49.9K,1/16W,1% ,0603,SMT
PR536
461673440002
PACKING KIT;N-B,8640M
271071604111
RES;6.04K,1/16W,1% ,0603,SMT
R80
221671650014
PARTITION;AK BOX,8175
565167340003
S/W;CD ROM,SYSTEM DRIVER,8640S
221671650004
PARTITION;FDD,AK BOX,8175
565180626001
S/W;CD*1,DVD,WIN-DVD,INTERVIDEO
D2,D3
151
8640 N/B Maintenance
9. Spare Part List-15
Part Number Description
565167000013
S/W;CD-ROM,B'S RECORDER GOLD2.0
340673400012
SHIELDING ASSY;TOP,8640S
297004010001
SW;PUSH BUTTOM,5P,SPST,12VDC,50m
340673400010
THERMAL ASSY;8640S
345673400007
THERMAL PAD;302LV,8640S
345673400005
THERMAL PAD;MOS,CHOKE,8640S
345673400004
THERMAL PAD;MOS,POWER,8640S
373101712351
T-SCREW;B,M1.7,L2.35,K-HD,2,NIB
373101713502
T-SCREW;B.M1.7L3.5,HD04t0.25,0,B
421673400001
WIRE ASSY;LCD,QDI,14",8640S
Location(S)
SW2,SW3
P/N:526267344014
152
8640 N/B Maintenance
9. Spare Part List-16
8640P Spare Part List
Part Number Description
Location(S)
Part Number Description
541667343005
AK;05-EU,BAG,8640D/M
225668300002
CONDUCTIVE TAPE;DIMM COVER,HOPE
541667343009
AK;10-UK,BOX,8640D/M
340673430001
COVER ASSY;8640D
541667341022
AK;19-UN,BOX,8640G/P
340673410001
COVER ASSY;8640G
541667340034
AK;31-PR,BOX,8640L TONGFANG
340673450001
COVER ASSY;8640L
541667340002
AK;EN,8640S,UTILITY ONLY
340673440002
COVER ASSY;8640M
441999900071
BATT ASSY OPTION;LI,12-CELL,8640
340673440005
COVER ASSY;KB ,8640M
442673400016
BATT,ASSY;14.8V/6AH,LI-MOLICEL,M
340673450002
COVER ASSY;LCD 14",8640L-TONGFAN
441673400027
BATT,ASSY;LI-ION,12CELLS/6.0AH,M
340673440006
COVER ASSY;LCD 14",8640M
340673440009
BEZEL ASSY; QUANTA,COMBO,8640M
340673400002
COVER ASSY;LCD 14",8640S
340673440019
BEZEL ASSY;DVD-ROM,QSI,8640M
340673440007
COVER ASSY;LCD,15",8640M
340673400021
BEZEL ASSY;DVD-ROM,QSI,8640S
340673440004
COVER ASSY;MINI PCI,8640M
340673400013
BRACKET ASSY;T/P,8640S
344673440008
COVER;L,HINGE,8640M
342673400006
BRACKET;L,LCD,14",8640S
344673440026
COVER;R,HINGE,8640M
342673400005
BRACKET;R,LCD,14",8640S
344673440003
COVER;SPEAKER,8640M
221673450002
CARD BOARD;FRAME,PALLET,8640S
523499991012
DVD ASSY OPTION;8X,8640
221673450001
CARD BOARD;TOP/BTM,PALLET,8640S
523499999067
DVD ASSY OPTION;8X,8640D/M
220673400002
CARRY BAG;N-B,8640
523467340006
DVD ASSY;QUANTA,8640S/G/P
221673400002
CARTON;NON-BRAND,8640S
523467343006
DVD ASSY;SDR083,QUANTA,8640D/M
431673450001
CASE KIT;W/O C/R,8640L
523499999069
DVD COMBO ASSY OPTION;16X10X8X24
431673430001
CASE KIT;W/Z C/R,8640D
523467343010
DVD COMBO ASSY;SBW161,QSI,8640D/
431673410001
CASE KIT;W/Z C/R,8640G
523430061906
DVD COMBO DRIVE;16X8X8X24,SBW-16
431673440001
CASE KIT;W/Z C/R,8640M
523430061904
DVD DRIVE; 8X,SDR-083,QUANTA
344673440010
CASE;HDD,8640M
227673420001
END CAP;8640P
291000012028
CON;HDR,MA,10P*2,1.27MM,H=16MM,S
227673400001
END CAP;8640S
331810006014
CON;MODULAR JACK,FM,6P4C,R/A,UK
481673430002
F/W ASSY;KBD CTRL,8640D
J14
Location(S)
U519
153
8640 N/B Maintenance
9. Spare Part List-17
Part Number Description
Location(S)
Part Number Description
481673450004
F/W ASSY;KBD CTRL,8640L
U519
346673400018
INSULATOR;55*35*0.15,8640S
481673430001
F/W ASSY;SYS/VGA BIOS,8640D
U513
346673400005
INSULATOR;M/B,8640S
481673450003
F/W ASSY;SYS/VGA BIOS,8640L
U513
531020237566
KBD;88,UI,K010718Q1,8640,BLACK
481673440001
F/W ASSY;SYS/VGA BIOS,8640M
U513
531020237484
KBD;88,UI,K010718U1,8640,DARK BL
340673400024
FAN ASSY;SUNON,8640S
531020237577
KBD;88,UK,K010718R1,8640,BLACK
345673410015
GASKET,QDI,LCD 14",PANEL BOTTOM,
451673450004
LABEL KIT;8640L TONGFANG
345673450001
GASKET;M/B,8*5*20,8640L
451673430032
LABEL KIT;N-B,8640D
451673430006
HDD ME KIT;8640D/M
451673410033
LABEL KIT;N-B,8640G
340671600020
HINGE;L,14",8175
451673440002
LABEL KIT;N-B,8640M
340671600018
HINGE;R,14",8175
242673430001
LABEL;AGENCY-GLOBAL,MSL,8640D
340673440013
HOUSING ASSY,HANNSTAR,LCD 15";86
242673410001
LABEL;AGENCY-GLOBAL,MSL,8640G
340673410003
HOUSING ASSY,QDI,LCD 14";8640G
242673440001
LABEL;AGENCY-GLOBAL,MSL,8640M
340673440016
HOUSING ASSY,QDI,LCD 14";8640M
242671720009
LABEL;AGENCY-GLOBAL,TONGFANG,ID4
340673440001
HOUSING ASSY;8640M
242600000157
LABEL;BAR CODE,125*65,COMMON
340673440012
HOUSING ASSY;BATTERY,8640M
242673400015
LABEL;BATT,14.8V/6AH,LI,MOLICE,M
451673430001
HOUSING KIT;8640D
441673430005
LCD ASSY;HANNSTAR,XGA,15",8640D/
451673410001
HOUSING KIT;8640G
441673430001
LCD ASSY;QDI,XGA,14.1",8640D/M
451673450001
HOUSING KIT;8640L
441673450001
LCD ASSY;QDI,XGA,14.1",8640L TON
451673440001
HOUSING KIT;8640M
441673400001
LCD ASSY;QDI,XGA,14.1",8640S/G/P
283450083001
IC;FLASH,256K*8-70,PLCC32,ST39SF
451673430003
LCD ME KIT;HANNSTAR,XGA,15",8640
286317099001
IC;LTC1709-9,PWM,QSOP,36P
PU9
451673430002
LCD ME KIT;QDI,XGA,14.1",8640D/M
286305248002
IC;MIC 5248-1.2BM5,LV12,LDO REG,
U506
451673450031
LCD ME KIT;QDI,XGA,14.1",8640L T
284500302001
IC;SIS302LV,TV ENCODER/LVDS,128P
U7
451673400002
LCD ME KIT;QDI,XGA,14.1",8640S/G
284500650003
IC;SISM650,N.B.,BGA702
U9
413000020317
LCD;HSD150PX11-B,TFT,15",LVDS,XG
346673400017
INSULATOR;102*21*0.15,8640S
413000021002
LCD;QD141X1LH03-MP01 Rev.B,TFT,1
Location(S)
154
8640 N/B Maintenance
9. Spare Part List-18
Part Number Description
Location(S)
Part Number Description
Location(S)
413000021002
LCD;QD141X1LH03-MP01 Rev.B,TFT,1
221673415004
PARTITION;IN BAG,8640G
416267343901
LT PF OPTION;XGA,14.1",8640D
221673450003
PARTITION;PALLET,8640S
416267341901
LT PF OPTION;XGA,14.1",8640G
222668820004
PE BUBBLE BAG;190X190MM,ANTI-STA
416267344902
LT PF OPTION;XGA,15",8640M
441673400041
PWA;PWA-8640/BATT GAUGE BD,12S,
416267344005
LT PF;HANNSTAR,XGA,15",8640M
441673400042
PWA;PWA-8640/BATT GAUGE BD,12S,
416267343001
LT PF;QDI,XGA,14.1",8640D
441673400043
PWA;PWA-8640/BATT PROTECTION BD
416267341002
LT PF;QDI,XGA,14.1",8640G
411673430001
PWA;PWA-8640D,MOTHER BD
416267345009
LT PF;QDI,XGA,14.1",8640L TONGFA
411673430003
PWA;PWA-8640D,MOTHER BD,SMT
526267343019
LTXNX;8640D/T4XX/XXJ/4UK1/L1D3B/
411673430002
PWA;PWA-8640D,MOTHER BD,T/U
526267341025
LTXNX;8640G/T4XX/XXC/3UI1/L1D3A/
411673430004
PWA;PWA-8640D/M/L,ESB/LED BD
526267345011
LTXNX;8640L/4QXX/XXC/7UIX/L1D4B/
411673410005
PWA;PWA-8640G/D,T/P BD W/Z C/R,S
526267344014
LTXNX;8640M/T5XX/XXA/3XX9/L1C3B/
411673410004
PWA;PWA-8640G/D,T/P BD W/Z C/R,T
561567340013
MANUAL KIT;EN,8640D/M/L,N-B
411673450001
PWA;PWA-8640L,MOTHER BD
561567340001
MANUAL KIT;EN,8640G/P,N-B
411673450003
PWA;PWA-8640L,MOTHER BD,SMT
561567340015
MANUAL KIT;EU,8640D/M/L,N-B
411673450002
PWA;PWA-8640L,MOTHER BD,T/U
561567340025
MANUAL;USER'S,EN,8640D/M/L,N-B
411673450008
PWA;PWA-8640L,T/P BD W/O C/R,SMT
561567340027
MANUAL;USER'S,EU,8640D/M/L,N-B
411673450007
PWA;PWA-8640L,T/P BD W/O C/R,T/U
242671730008
NAMEPLATE;TONGFANG,ID4,8575
411673440001
PWA;PWA-8640M,MOTHER BD
461673400008
PACKING KIT;8640,12CELLS,MOLI,DI
411673440003
PWA;PWA-8640M,MOTHER BD,SMT
461673430001
PACKING KIT;N-B,8640D
411673440002
PWA;PWA-8640M,MOTHER BD,T/U
461673440002
PACKING KIT;N-B,8640M
332810000043
PWR CORD;250V/3A,2P,BLACK,UK
461673440003
PACKING KIT;N-B,BAG,8640M
332810000102
PWR CORD;250V10A,2P,BLK,CHINA,15
227673400002
PAD;LCD/KB,335*252*1mm,ANIT-STAT
271071131101
RES;130 ,1/16W,1% ,0603,SMT
R714
224670830002
PALLET;1250*1080*130,7521N
271071499211
RES;49.9K,1/16W,1% ,0603,SMT
PR536
221673415003
PARTITION;CARRYING BAG,8640G
271071604111
RES;6.04K,1/16W,1% ,0603,SMT
R80
155
8640 N/B Maintenance
9. Spare Part List-19
Part Number Description
565167340003
S/W;CD ROM,SYSTEM DRIVER,8640S
565180626001
S/W;CD*1,DVD,WIN-DVD,INTERVIDEO
565180626001
S/W;CD*1,DVD,WIN-DVD,INTERVIDEO
565167000013
S/W;CD-ROM,B'S RECORDER GOLD2.0
340673400012
SHIELDING ASSY;TOP,8640S
297004010001
SW;PUSH BUTTOM,5P,SPST,12VDC,50m
340673400010
THERMAL ASSY;8640S
345673400007
THERMAL PAD;302LV,8640S
345673400005
THERMAL PAD;MOS,CHOKE,8640S
345673400004
THERMAL PAD;MOS,POWER,8640S
373101712351
T-SCREW;B,M1.7,L2.35,K-HD,2,NIB
373101713502
T-SCREW;B.M1.7L3.5,HD04t0.25,0,B
421673400001
WIRE ASSY;LCD,QDI,14",8640S
Location(S)
SW2,SW3
P/N:526267342017
156
8640 N/B Maintenance
9. Spare Part List-20
8640D-G-L-M-P Common Spare Part List
Part Number Description
Location(S)
Part Number Description
Location(S)
441999900070
AC ADPT ASSY OPTION;8640
340673410004
BRACKET ASSY;SUPPORT,CD-ROM,8640
442671200004
AC ADPT ASSY;19V/4.74A,DELTA,817
340673420004
BRACKET ASSY;T/P,8640P
361400003030
ADHESIVE;ABS+PC PACK,G485,CEMIDA
340673400013
BRACKET ASSY;T/P,8640S
361400003005
ADHESIVE;HEAT,TRANSFER,HTA-48(W)
342673410001
BRACKET;CARD-READER,8640G
541667341007
AK;04-EU,BOX,8640G/P
342673400003
BRACKET;CD-ROM,8640S
541667343005
AK;05-EU,BAG,8640D/M
342673400006
BRACKET;L,LCD,14",8640S
541667343009
AK;10-UK,BOX,8640D/M
342673400009
BRACKET;L,LCD,15",8640S
541667341022
AK;19-UN,BOX,8640G/P
342673400005
BRACKET;R,LCD,14",8640S
541667340034
AK;31-PR,BOX,8640L TONGFANG
342673400008
BRACKET;R,LCD,15",8640S
541667341002
AK;EN,8640G/P,UTILITY ONLY
421673440001
CABLE ASSY;MDC,8640M
541667340002
AK;EN,8640S,UTILITY ONLY
421015560001
CABLE ASSY;PHONE LINE,6P2C,W/Z C
441999900069
BATT ASSY OPTION;LI,12-CELL,8640
421673400005
CABLE ASSY;TV-OUT,8640S
441999900071
BATT ASSY OPTION;LI,12-CELL,8640
272075103403
CAP;.01U ,50V,10%,0603,X7R,SMT
C3,C16
442673400016
BATT,ASSY;14.8V/6AH,LI-MOLICEL,M
272073223401
CAP;.022U,CR,25V ,10%,0603,X7R,S
C23,C28,C516,C518,C528,C529,C5
442673400004
BATT,ASSY;14.8V/6AH,MOLICEL,MSL,
272073104703
CAP;.1U ,25V,+80-20%,0603,X7R,S
C1,C4,C8
441673400028
BATT,ASSY;LI-ION,12CELLS/6.0AH,M
272072104402
CAP;.1U ,CR,16V,10%,0603,X7R,SM
C542,C580,C581,C593,C596,C597
441673400027
BATT,ASSY;LI-ION,12CELLS/6.0AH,M
272003104701
CAP;.1U ,CR,25V ,+80-20%,0805,Y
PC62,PC73,PC76,PC77
298000000008
BATTERY HOLDER;CR2025,SMT
BT501
272002474401
CAP;.47U ,CR,16V ,10%,0805,X7R,S
C12,C13
338530010025
BATTERY; LI,3V/160MAH,CR-2025
BT501
272030102405
CAP;1000P,CR,3KV,10%,1808,X7R,TU
C167,C514,C907
338536010010
BATTERY;LI,3.6V/2.0AH,18650,MOLI
272075101701
CAP;100P ,50V ,+ -10%,0603,NPO,S
C72,C73
340673440009
BEZEL ASSY; QUANTA,COMBO,8640M
272075101401
CAP;100P ,50V ,10%,0603,COG,SMT
C20,C22,C502,C519
340673440019
BEZEL ASSY;DVD-ROM,QSI,8640M
272011106703
CAP;10U ,10V,+80-20%,1206,Y5V,1
C10,C114,C120,C124,C125,C13,C
340673400021
BEZEL ASSY;DVD-ROM,QSI,8640S
272011106701
CAP;10U ,10V,+80-20%,1206,Y5V,S
C40,C45,C75,C76
242670800113
BFM-WORLD MARK;WINXP,7521N
272022106701
CAP;10U ,16V,+80-20%,1210,Y5V,S
PC508,PC53
221671640001
BOX;AK,8175
272013106701
CAP;10U ,25V ,+80-20%,1206,Y5U,
PC83
157
8640 N/B Maintenance
9. Spare Part List-21
Part Number Description
Location(S)
Part Number Description
Location(S)
272023106501
CAP;10U ,25V ,20%,1210,Y5U,SMT
PC501
272075472701
CAP;4700P,50V ,+ -20%,0603,X7R,S
C36,C522,C526,C533,C536,C537,C
272011106404
CAP;10U,6.3V,10%,1206,X7R,SMT
C100,C101,C106,C139,C143,C154
272072471301
CAP;470P ,CR,16V ,5% ,0603,NPO,P
C12
272073152401
CAP;1500P,CR,25V ,10%,0603,X7R,S
PC1
272075561701
CAP;560P ,CR,50V ,+80-20%,0603,S
C23
272431227503
CAP;150U ,POLY,6.3V,20%,7243,SMT
PC11,PC13,PC14,PC7,PC8
272030680402
CAP;68P ,3KV,10%,1808,NPO,SMT,P
C15
272433156502
CAP;15U ,TQC,25V,20%,H=1.9 ,7343
PC40,PC41,PC42,PC46
272075680302
CAP;68P ,50V ,5% ,0603,NPO,SMT
C801,C806,C807
272073180401
CAP;18P ,CR,25V ,10%,0603,NPO,S
C38,C40
221673450002
CARD BOARD;FRAME,PALLET,8640S
272001105403
CAP;1U ,10%,10V ,0805,X7R,SMT
C640,C644
221673450001
CARD BOARD;TOP/BTM,PALLET,8640S
272002105403
CAP;1U ,CR,16V,10%,0805,X7R,SM
C6
220673400002
CARRY BAG;N-B,8640
272001225401
CAP;2.2U ,CR,10V ,10%,0805,X5R,S
C5
221600020128
CARTON;380MM*320MM*320MM,BC FLUT
272012225702
CAP;2.2U ,CR,16V ,+80-20%,1206,Y
C185
221673412002
CARTON;5 IN 1,8640G
272075200302
CAP;20P ,CR,50V ,5% ,0603,SMT
C523,C719,C725
221504220001
CARTON;BATTERY,8640
272075221302
CAP;220P ,50V ,5% ,0603,NPO,SMT
C602,C604,C628,C650,C651,PC58
221673400002
CARTON;NON-BRAND,8640S
272075221401
CAP;220P ,CR,50V ,10%,0603,X7R,S
C69
431673450001
CASE KIT;W/O C/R,8640L
272431227001
CAP;220U, 2.5V,TPE, 7343,18MR
C51,PC25,PC26,PC54,PC540,PC5
431673430001
CASE KIT;W/Z C/R,8640D
272421225501
CAP;220U,TPE,4V,20%,7343,SMT
PC566,PC71
431673410001
CASE KIT;W/Z C/R,8640G
272021226701
CAP;22U ,10V,+80-20%,1210,Y5V,S
C818,C834
431673440001
CASE KIT;W/Z C/R,8640M
272043226501
CAP;22U ,25V ,+-20%,1812,Y5U,SMT
PC509,PC525,PC527,PC559,PC57
431673420002
CASE KIT;W/Z C/R,8640P GERICOM
272075271401
CAP;270P ,50V,+-10%,0603,X7R,SMT
C191,C234,C4,C5,C503
344673440010
CASE;HDD,8640M
272075270302
CAP;27P ,50V ,5%,0603,COG,SMT
C674,C675
344673400001
CASE;HDD,8640S
272075209001
CAP;2P ,CR,50V ,+-0.25PF,0603,
C13
451673400071
CD ROM ME KIT;8640S/G/P
272431337506
CAP;330U,4V,20%,7343,SMT
PC82
335152000044
CFM-BAT;FUSE THERMAL 98'C
272075330302
CAP;33P ,50V,5% ,0603,NPO,SMT
C15,C17,C513
413000020348
CFM-GERICOM;LCD,HSD150PX11-B,TFT
272421336501
CAP;33U,TT,8V,20%,3528,SMT
C693,C696
273000500052
CHOKE COIL;0.7UH,1.6mOHM,25%,20A
PL7,PL8
272002475701
CAP;4.7U ,CR,10V ,+80-20%,0805,S
C33,C47,C48,C515,C525,C531,C54
273000500053
CHOKE COIL;10UH,21.6mOHM,5.4A
PL14,PL2
272012475502
CAP;4.7U ,CR,16V,20%,1206,Y5U,SM
C14
273000500015
CHOKE COIL;50UH(REF),D.4*2,5.5T,
L42
158
8640 N/B Maintenance
9. Spare Part List-22
Part Number Description
331000008038
CON;BAT,8P,2.5MM,SUYIN
291000000406
Location(S)
J23
Part Number Description
Location(S)
331870006013
CON;MINI DIN,6P,R/A,W/GROUND,731
J15
CON;BATTERY,4P,2.0MM,R/A,21040S-
331000007018
CON;MINI DIN,7P,R/A,W/GROUND,ALL
J3
331000007019
CON;BATTERY,7P,2.5MM,25032A-07G1
331810006008
CON;MODULAR JACK,FM,6P4C,R/A,FR
331030050014
CON;CD-ROM,50P,0.8MM,H-11.9,R/A,
J502
331810006014
CON;MODULAR JACK,FM,6P4C,R/A,UK
331720015006
CON;D,FM,15P,2.29,R/A,3ROW
J6
291000810604
CON;PHONE JACK,6P,H=11.5,RJ11,SM
J17
331720025005
CON;D,FM,25P,2.775,R/A
J5
291000810806
CON;PHONE JACK,8P8C,SMD,RJ45
J4
291000151201
CON;FPC/FFC,12P,0.5MM,R/A,SMT
J501
331910003039
CON;POWER JACK,3P,D=2.0,SINGATRO
J1
291000153006
CON;FPC/FFC,15P*2,.8MM,BD/BD,ST,
J505
331840010008
CON;STEREO JACK,10P,W/SPDIF,R/A,
J504
291000142404
CON;FPC/FFC,24P,1MM,H8.2,ST,ACES
J21
331840005013
CON;STEREO JACK,5P,R/A,28MF60-07
J505
291000154006
CON;FPC/FFC,40P,0.5MM,R/A,ZIF,SM
J507
331000004029
CON;USB,MA,R/A,4P*1,2551A-04G5T-
J10,J7,J8,J9
291000144004
CON;HDR,20P*2,1.0MM,H=4.6,ST,SMT
J11
291000410301
CON;WFR,MA,3P,1.25,ST,SMT/MB
J18
291000012027
CON;HDR,FM,10P*2,1.27MM,H=4.5,ST
J501
291067340002
CON;WFR,MA,3P,DF-13A-1.25H,R/A,W
J501
331030044013
CON;HDR,FM,22*2,2MM,ST,C16805
225668300002
CONDUCTIVE TAPE;DIMM COVER,HOPE
291000017006
CON;HDR,FM,35P*2,1.27MM,H=4.5,ST
PJ501
342668200003
CONTACT PLATE;2,W4L20T0.15
291000012025
CON;HDR,MA,10P*2,1.27MM,H=11.5,S
J14
342503100001
CONTACT PLATE;FOR 4 CELLS,2/4,75
291000012028
CON;HDR,MA,10P*2,1.27MM,H=16MM,S
J14
342504300005
CONTACT PLATE;W5L102T0.13,8500
291000021101
CON;HDR,MA,11P*1,1.25,R/A,DF13-1
J1
342503400004
CONTACT PLATE;W5L45T0.13,7170LI,
291000011209
CON;HDR,MA,12P*1,1.25,ST,SMT
J13
342503400006
CONTACT PLATE;W5L45T0.13,7170LI,
291000014412
CON;HDR,MA,22P*2,2MM,ST,SMT,ALLT
J16
342503400002
CONTACT PLATE;W5L9T0.13,7170LI,P
291000020202
CON;HDR,MA,2P*1,1.25,R/A,SMT,HIR
J503,J506,J508
342503400003
CONTACT PLATE;W7L7T0.13,7170LI,P
291000020204
CON;HDR,MA,2P*1,3.5MM,R/A,SMT,SM
J2
340673430001
COVER ASSY;8640D
291000017007
CON;HDR,MA,35P*2,1.27MM,H=13,ST,
PJ1
340673410001
COVER ASSY;8640G
291000020408
CON;HDR,MA,4P,1.25MM,H3.5MM,R/A,
J502
340673450001
COVER ASSY;8640L
291000256823
CON;IC CARD PART;68P,0.635,H5,SM
J19
340673440002
COVER ASSY;8640M
331000004018
CON;IEEE1394,MA,4P,.8MM,R/A,LINK
J2
340673420005
COVER ASSY;8640P
159
8640 N/B Maintenance
9. Spare Part List-23
Part Number Description
Location(S)
Part Number Description
Location(S)
340673400003
COVER ASSY;K/B,8640S
288103103001
DIODE;EC31QS03L,30V,3A,SMT
PD4,PD5,PD511,PD512,PD513,PD
340673440005
COVER ASSY;KB ,8640M
288100551001
DIODE;RB551V-30,SCHOTTKY,.36V,UM
D507
340673450002
COVER ASSY;LCD 14",8640L-TONGFAN
288100751001
DIODE;RB751V-40,40V,200mA,SOD-32
D515
340673440006
COVER ASSY;LCD 14",8640M
288104148001
DIODE;RLS4148,200MA,500MW,MELF,S
D3,D505,D506,D514,D516,D517,D
340673400002
COVER ASSY;LCD 14",8640S
288100024002
DIODE;RLZ24D,ZENER,23.63V,5%,SMT
PD1
340673440007
COVER ASSY;LCD,15",8640M
288100018003
DIODE;UDZS18B,ZENER,18V,SOD-323,
ZD4,ZD5
340673400016
COVER ASSY;LCD,15",8640S
344672300025
DUMMY CARD;PCMCIA,MANGUSTA
340673440004
COVER ASSY;MINI PCI,8640M
523499991012
DVD ASSY OPTION;8X,8640
340673400008
COVER ASSY;MINI PCI,8640S
523499999067
DVD ASSY OPTION;8X,8640D/M
344673400022
COVER;BATTERY,8640S
523467340006
DVD ASSY;QUANTA,8640S/G/P
344673440008
COVER;L,HINGE,8640M
523467343006
DVD ASSY;SDR083,QUANTA,8640D/M
344673400016
COVER;L,HINGE,8640S
523499999069
DVD COMBO ASSY OPTION;16X10X8X24
344673440026
COVER;R,HINGE,8640M
523467343010
DVD COMBO ASSY;SBW161,QSI,8640D/
344673400025
COVER;R,HINGE,8640S
523430061906
DVD COMBO DRIVE;16X8X8X24,SBW-16
344673440003
COVER;SPEAKER,8640M
523430061904
DVD DRIVE; 8X,SDR-083,QUANTA
344673400009
COVER;SPEAKER,8640S
272601107506
EC;100U ,6.3V,M,9.3*3.6,-55~105'
272625220401
CP;22P*4 ,8P,50V ,10%,1206,NPO,S
CP501,CP502,CP503,CP504,CP50
312271006358
EC;100U,25V,RA,M,D6.3*7,SGX,SANY
PC37,PC38
272625470401
CP;47P*4 ,8P,50V ,10%,1206,NPO,S
CP507
312001207351
EC;1200U,2.5V,+-20%,10X10mm
PC27,PC28,PC29
291000612004
DIMM SOCKET;DDR,200P,0.6MM,H4,SM
J504
227673420003
END CAP;5 IN 1,LOWER,8640P
291000612003
DIMM SOCKET;DDR,200P,0.6MM,H9.2,
J22
227673410001
END CAP;5 IN 1,UPPER,8640G
288100032013
DIODE;BAS32L,VRRM75V,MELF,SOD-80
D501,PD514
227673420001
END CAP;8640P
288100054001
DIODE;BAT54,30V,200mA,SOT-23
PD508,PD509,PD510
227673400001
END CAP;8640S
288100701002
DIODE;BAV70LT1,70V,225MW,SOT-23
D511,PD501,PD502
227671600008
END CAP;BATTERY,AK BOX,8175
288101003001
DIODE;EC10QS03L,30V,1A,SMT
PD2,PD3,PD504
227671600009
END CAP;FDD,AK BOX,8175
288100112003
DIODE;EC11FS2-TE12L,SCHOTTKY,200
D503,PD507
481673400007
F/W ASSY;CARD READER,8640
C501,C502
U502
160
8640 N/B Maintenance
9. Spare Part List-24
Part Number Description
Location(S)
Part Number Description
481673430002
F/W ASSY;KBD CTRL,8640D
U519
345673400010
GASKET,HANNSTAR,LCD 15",BOTTOM,1
481673450004
F/W ASSY;KBD CTRL,8640L
U519
345673400009
GASKET,HANNSTAR,LCD 15",TOP,15*1
481673440002
F/W ASSY;KBD CTRL,8640M
U519
345673410015
GASKET,QDI,LCD 14",PANEL BOTTOM,
481673430001
F/W ASSY;SYS/VGA BIOS,8640D
U513
345673410002
GASKET;HEATSINK,M/B,8640G
481673450003
F/W ASSY;SYS/VGA BIOS,8640L
U513
345672300031
GASKET;LCD HOUSING,9*9*0.5,MANGU
481673440001
F/W ASSY;SYS/VGA BIOS,8640M
U513
345673450001
GASKET;M/B,8*5*20,8640L
481673420001
F/W ASSY;SYS/VGA BIOS,8640P GERI
U513
345673410007
GASKET;RJ,M/B,8640G
340671200020
FAN ASSY;8170
345673410005
GASKET;T/P PCB,COVER,8640G
340673400024
FAN ASSY;SUNON,8640S
345673410006
GASKET;T/P PCB,HOUSING,8640GNO
273000610019
FERRITE ARRAY;130OHM/100MHZ,3216
FA501,FA502,FA503
345669900038
GASKET;TOP SHIELDING,60*3.0*1.0,
273000130001
FERRITE CHIP;120OHM/100MHZ,1608,
L50,L51
230000010004
GLUE;9001B,BLACK,PRC
273000150001
FERRITE CHIP;220OHM/100MHZ,2012,
L501
230000010003
GULE;9001A,BLACK,PRC
273000130038
FERRITE CHIP;600OHM/100MHZ,1608,
L16,L21,L22,L23,L25
451673430006
HDD ME KIT;8640D/M
422666200001
FFC ASSY;TOUCH PAD,CASE KIT,NV
451673400051
HDD ME KIT;8640S/G/P
342672400007
FINGER;EMI GROUNDING SMD FINGER
E504,E505,E506,E507,E508,E509
340671600020
HINGE;L,14",8175
342600001203
FINGER;EMI GROUNDING SMD FINGER,
E512,E516
340671600019
HINGE;L,15",8175
288003602001
FIR;HSDL-3602-007,FRONT VIEW,10P
U4
340671600018
HINGE;R,14",8175
245600010016
FLOW CARD;SPS,GRAY
340671600017
HINGE;R,15",8175
245600010030
FLOW CARD;SPS,PINK,100MM*30MM,PR
340673400030
HOUSING ASSY,HANNSTAR,LCD 15";86
421673410003
FPC ASSY;CARD-READER,8640G
340673440013
HOUSING ASSY,HANNSTAR,LCD 15";86
295000010057
FUSE;228R,139C',5A/250V,SMT,PRC
340673410003
HOUSING ASSY,QDI,LCD 14";8640G
295000010116
FUSE;FAST, 10A, 86VDC, 6125,SMT
PF1
340673440016
HOUSING ASSY,QDI,LCD 14";8640M
295000010126
FUSE;FAST,2A,63VDC,1206,SMT,PRC
F1
340673440001
HOUSING ASSY;8640M
335152000062
FUSE;LR4-730,POLY SWITCH,PRC
340673400004
HOUSING ASSY;8640S
295000010016
FUSE;NORMAL,6.5A/32VDC,3216,SMT
340673440012
HOUSING ASSY;BATTERY,8640M
PF501
Location(S)
161
8640 N/B Maintenance
9. Spare Part List-25
Part Number Description
Location(S)
Part Number Description
Location(S)
340673400027
HOUSING ASSY;BATTERY,8640S
283460000003
IC;FLASH,512K*8-70,PLCC32,EN29F0
451673430001
HOUSING KIT;8640D
283467340002
IC;FLASH,64K*8-70,PLCC32,W27C512
451673410001
HOUSING KIT;8640G
284500802003
IC;FW802A,IEEE1394 PHY,LQFP64P,2
451673450001
HOUSING KIT;8640L
284583437003
IC;H8/F3437S,KBD CTRL,TQFP,100P,
451673440001
HOUSING KIT;8640M
284501893002
IC;ICS1893AF,LAN PHY,SMT,SSOP48
U508
451673420001
HOUSING KIT;8640P
284593722001
IC;ICS93722,DDR ZERO DELAY CLOCK
U518
344600000824
IC CARD CON PART;68P,IC11SA-BD-P
284595200101
IC;ICS952001,TIMING CTL HUB FOR
U510
291000614795
IC SOCKET;BGA-mPGA478B,478P,AMP
U8
286100393004
IC;LMV393,DUAL COMPARTOR,SSOP,8P
PU510
282574373004
IC;74AHC373,OCT D-TRAN,TSSOP,20P
U521
286302951015
IC;LP2951ACM,VOLTAGE REGULATOR,S
PU504
282074338402
IC;74CBTD3384,10 BIT BUS SW,TSOP
U516
286317099001
IC;LTC1709-9,PWM,QSOP,36P
PU9
282574164002
IC;74VHC164,SIPO REGISTER,TSSOP,
U511
286303707001
IC;LTC3707,PWM SWITCH REG,SOOP,2
PU22
284501032001
IC;ADM1032,TEMPERATURE MTR,SO8
U504
286303716001
IC;LTC3716,PWM,QSOP,36P
PU9
286300809003
IC;ADM809M,RESET CIRCUIT,4.38V,S
U517
286301632002
IC;MAX1632CAI,PWM CTRL,SSOP,28P
PU502
284500202003
IC;ALC202,AUDIO CODEC,TQFP,48P
U5
286301772001
IC;MAX1772,PWM,QSOP,28P
PU512
286308800006
IC;AME8800AEEV,VOL REG.,SOT23-5,
PU17
286305248002
IC;MIC 5248-1.2BM5,LV12,LDO REG,
U506
286308801006
IC;AME8801CEEV,VOL REG.,SOT23-5,
PU7
286301414001
IC;MM1414,PROTECTION,TSOP-20A,PR
U5
284504835002
IC;APA4835RI-TR,2W,AUDIO AMP,TSS
U6
284500017004
IC;MOBILITY,MAP17,BGA692
U6
286301117031
IC;APL1117,1A,LDO,3.3V,SOT223,3P
U7
281300732001
IC;NC7S32,SINGLE OR GATE,SC70-5
U3
286002040001
IC;BQ2040,GAS GAUGE,SO,16P,SMT
U502
284503050002
IC;ND3050,6 IN1 FLASH DEVICE CON
U2
286301410001
IC;CB1410,PCI/CARDBUS,LQFP,144P,
U12
286300965001
IC;OZ965R,CCFL CTRL,TSSOP16,O2
U1
284508500002
IC;CM8500,3A BUS TERMINATOR,PTSS
PU20
284501284001
IC;PAC1284-01Q,TERMIN. NETWK,QSO
U501,U502
286302211002
IC;CP2211,POWER DISTRI SW,SSOP16
U11
284587393002
IC;PC87393F,TQFP,100P
U512
283466570001
IC;EEPROM,9346,64*16 BITS,SO8,SM
U514
286309701001
IC;RT9701,POWER DISTRI SW,SOT23-
U2,U3
286305234001
IC;FAN5234,PWM,QSOP,16P
PU1
286300812002
IC;S-812C,DECECTOR,SOT-89,PRC
U3
283450083001
IC;FLASH,256K*8-70,PLCC32,ST39SF
286300431014
IC;SC431LCSK-.5,.5%,ADJ REG,SOT2
PQ2,PQ507,PQ516
U509
162
8640 N/B Maintenance
9. Spare Part List-26
Part Number Description
Location(S)
Part Number Description
284500302001
IC;SIS302LV,TV ENCODER/LVDS,128P
U7
346668300024
INSULATOR;DIMM P/N MB TOP,HOPE
284500645002
IC;SIS645DX,N.B.,BGA702
U9
346503200002
INSULATOR;FOR 4 CELLS,GRAMPUS
284509622001
IC;SIS962 A2 HM-I/O,S.B.,BGA371
U515
346669900004
INSULATOR;INVERTER,7170
284500650003
IC;SISM650,N.B.,BGA702
U9
346673410001
INSULATOR;M/B,8640G
284500530002
IC;SM530, SPREAD CLOCK,TSSOP20
U503
346673400005
INSULATOR;M/B,8640S
286362003001
IC;TPS62003,LOW POWER,MSOP,10P
U520
346673440001
INSULATOR;MINIPCI,MB,8640M
286361256001
IC;UT61L256C-12,SRAM 32K*8,TSOP,
U8
346503400203
INSULATOR;ONE ROUND,STINGRAY
273000135101
INDUCTOR;10UH,10%,1608,SMT
L539
346504200002
INSULATOR;PCB ASSY,W16L41,8640
273000990031
INDUCTOR;10UH,CDRH127B,SUMIDA,SM
PT1
346673400004
INSULATOR;RTC,M/B,8640S
273000990116
INDUCTOR;10UH,SPC-10038-100,SMT
PL501
346673410002
INSULATOR;T/P PCB,8640G
273000990115
INDUCTOR;3.3uH,3A,CSS054D,SMT
PL16
541150340202
INVERTER BD;STINGRAY (FOR 8640)
273000150106
INDUCTOR;4.7UH,10%,2012,SMT
L527,L528
531020237486
KBD;88,FR,K010718V1,8640,DARK BL
273000990117
INDUCTOR;4.7UH,CDRH127,MULTI,SMT
PL12,PL15
531020237566
KBD;88,UI,K010718Q1,8640,BLACK
346673400017
INSULATOR;102*21*0.15,8640S
531020237484
KBD;88,UI,K010718U1,8640,DARK BL
346503100005
INSULATOR;5,BATTERY ASSY,7521Li
531020237577
KBD;88,UK,K010718R1,8640,BLACK
346673400018
INSULATOR;55*35*0.15,8640S
451673450004
LABEL KIT;8640L TONGFANG
346504200001
INSULATOR;BATT ASSY,10CELLS,8640
451673430032
LABEL KIT;N-B,8640D
346000000001
INSULATOR;BATT ASSY,6CELLS,8640
451673410033
LABEL KIT;N-B,8640G
346503400502
INSULATOR;BATT ASSY,L22R9.2,8175
451673440002
LABEL KIT;N-B,8640M
346503200202
INSULATOR;BATT ASSY,ONE ROUND,BL
451673420033
LABEL KIT;N-B,8640P
346503200101
INSULATOR;BATT ASSY,POLY,W30L64,
242600000439
LABEL;25*6,HI-TEMP,COMMON
346503400503
INSULATOR;BATT ASSY,W7L13,8175
242673430001
LABEL;AGENCY-GLOBAL,MSL,8640D
346673400013
INSULATOR;BATT ASSY,W8L170T1.0
242673410001
LABEL;AGENCY-GLOBAL,MSL,8640G
346673400011
INSULATOR;CARBUS,M/B,8640S
242673440001
LABEL;AGENCY-GLOBAL,MSL,8640M
346673410003
INSULATOR;CD-ROM_CONN,T/P,8640G
242673420001
LABEL;AGENCY-GLOBAL,MSL,8640P
Location(S)
163
8640 N/B Maintenance
9. Spare Part List-27
Part Number Description
Location(S)
Part Number Description
242671720009
LABEL;AGENCY-GLOBAL,TONGFANG,ID4
416267341901
LT PF OPTION;XGA,14.1",8640G
242600000088
LABEL;BAR CODE,125*65,COMMON
416267344902
LT PF OPTION;XGA,15",8640M
242600000157
LABEL;BAR CODE,125*65,COMMON
416267344005
LT PF;HANNSTAR,XGA,15",8640M
242673400004
LABEL;BATT 14.8V/6AH,LI,MOLICE,M
416267342010
LT PF;HANNSTAR,XGA,15",8640P GER
242673400015
LABEL;BATT,14.8V/6AH,LI,MOLICE,M
416267343001
LT PF;QDI,XGA,14.1",8640D
242669900009
LABEL;BLANK,60*80MM,7170
416267341002
LT PF;QDI,XGA,14.1",8640G
242664800013
LABEL;CAUTION,INVERT BD,PITCHING
416267345009
LT PF;QDI,XGA,14.1",8640L TONGFA
242669600005
LABEL;LOT NUMBER,RACE
526267343019
LTXNX;8640D/T4XX/XXJ/4UK1/L1D3B/
242674600010
LABEL;NVIDIA;CAIMAN
526267341025
LTXNX;8640G/T4XX/XXC/3UI1/L1D3A/
441673430005
LCD ASSY;HANNSTAR,XGA,15",8640D/
526267345011
LTXNX;8640L/4QXX/XXC/7UIX/L1D4B/
441673410003
LCD ASSY;HANNSTAR,XGA,15",8640G/
526267344014
LTXNX;8640M/T5XX/XXA/3XX9/L1C3B/
441673430001
LCD ASSY;QDI,XGA,14.1",8640D/M
526267342017
LTXNX;8640P/5RXX/XXA/3FR9/L1I3A/
441673450001
LCD ASSY;QDI,XGA,14.1",8640L TON
561567340013
MANUAL KIT;EN,8640D/M/L,N-B
441673400001
LCD ASSY;QDI,XGA,14.1",8640S/G/P
561567340001
MANUAL KIT;EN,8640G/P,N-B
451673420002
LCD ME KIT;HANNSTAR,XGA,15",8640
561567340015
MANUAL KIT;EU,8640D/M/L,N-B
451673430003
LCD ME KIT;HANNSTAR,XGA,15",8640
561567340002
MANUAL KIT;EU,8640G/P,N-B
451673430002
LCD ME KIT;QDI,XGA,14.1",8640D/M
561567340025
MANUAL;USER'S,EN,8640D/M/L,N-B
451673450031
LCD ME KIT;QDI,XGA,14.1",8640L T
561567340007
MANUAL;USER'S,EN,8640G/P,N-B
451673400002
LCD ME KIT;QDI,XGA,14.1",8640S/G
561567340027
MANUAL;USER'S,EU,8640D/M/L,N-B
413000020317
LCD;HSD150PX11-B,TFT,15",LVDS,XG
561567340008
MANUAL;USER'S,EU,8640G/P,N-B
294011200126
LED;BLUE,H=0.8,0603,19-21UBC/C43
D2,D3
421673400003
MICROPHONE ASSY;8640S
294011200069
LED;GREEN,19-21VGC/TR8,LED_CL190
LED1,2,3,4
291000611245
MINIPCI SOCKET;124P,0.8MM,H=4,S
294011200016
LED;GREEN,H0.8,0603,CL-190G,SMT
D10,D5,D6,D7,D8,D9
441673400051
MODEM ASSY,MDC,ASKEY,8640
294011200070
LED;RED/GREEN,19-22SRVGC/TR8,LED
LED5,6
346673410004
MYLAR;T/P PCB,8640G
416267343901
LT PF OPTION;XGA,14.1",8640D
242671730008
NAMEPLATE;TONGFANG,ID4,8575
Location(S)
J503
164
8640 N/B Maintenance
9. Spare Part List-28
Part Number Description
Location(S)
Part Number Description
375102030010
NUT-HEX;M2,2,NIW
222671330004
PE BAG;120x170MM,W/SEAL,STINGRAY
461673400008
PACKING KIT;8640,12CELLS,MOLI,DI
222600020049
PE BAG;50*70MM,W/SEAL,COMMON
461673400009
PACKING KIT;8640,12CELLS,MOLI,ME
222600020310
PE BAG;70X100MM,W/SEAL,COMMON
461673440005
PACKING KIT;N-B,5 IN 1,8640M
222668820001
PE BAG;ANTI-STATIC,170x270MM,ORC
461673430001
PACKING KIT;N-B,8640D
222667220003
PE BAG;L560XW345,CERES
461673440002
PACKING KIT;N-B,8640M
222668820004
PE BUBBLE BAG;190X190MM,ANTI-STA
461673440003
PACKING KIT;N-B,BAG,8640M
222670000001
PE BUBBLE BAG;BATTERY,7521
227673400002
PAD;LCD/KB,335*252*1mm,ANIT-STAT
222503220001
PE BUBBLE BAG;BATTERY,GRAMPUS
224670830002
PALLET;1250*1080*130,7521N
340673400001
PLATE ASSY;K/B,8640S
221671650014
PARTITION;AK BOX,8175
411673400005
PWA;PWA-8640,ESB/LED BD
221504250001
PARTITION;BATTERY,MARLIN,8640
441673400044
PWA;PWA-8640/BATT GAUGE BD,12S,
221673415003
PARTITION;CARRYING BAG,8640G
441673400045
PWA;PWA-8640/BATT GAUGE BD,12S,
221671650004
PARTITION;FDD,AK BOX,8175
441673400041
PWA;PWA-8640/BATT GAUGE BD,12S,
221600050113
PARTITION;FLAT,320MM*290MM,BC FL
441673400042
PWA;PWA-8640/BATT GAUGE BD,12S,
221671250005
PARTITION;HDD CASE,8170
441673400046
PWA;PWA-8640/BATT PROTECTION BD
221673415004
PARTITION;IN BAG,8640G
441673400043
PWA;PWA-8640/BATT PROTECTION BD
221673450003
PARTITION;PALLET,8640S
411673430001
PWA;PWA-8640D,MOTHER BD
221504250002
PARTITION;TOP/BTM,BATTERY,MARLIN
411673430003
PWA;PWA-8640D,MOTHER BD,SMT
412155600047
PCB ASSY;MDM,56K,UNIV,F-PACK,WO/
411673430002
PWA;PWA-8640D,MOTHER BD,T/U
316504200001
PCB;PWA-8640/BATT GAUG BD,PROTEC
411673430004
PWA;PWA-8640D/M/L,ESB/LED BD
316504200002
PCB;PWA-8640/BATT GAUGE BD
411673410005
PWA;PWA-8640G/D,T/P BD W/Z C/R,S
316673400003
PCB;PWA-8640/ESB-LED BD
R01
411673410004
PWA;PWA-8640G/D,T/P BD W/Z C/R,T
316673400001
PCB;PWA-8640/MOTHER BD
R02
411673450001
PWA;PWA-8640L,MOTHER BD
316673400002
PCB;PWA-8640/Touch Pad BD
R01
411673450003
PWA;PWA-8640L,MOTHER BD,SMT
316000000019
PCB;PWA-STINGRAY/INVERTER BD (FO
411673450002
PWA;PWA-8640L,MOTHER BD,T/U
Location(S)
165
8640 N/B Maintenance
9. Spare Part List-29
Part Number Description
Location(S)
Part Number Description
Location(S)
411673450008
PWA;PWA-8640L,T/P BD W/O C/R,SMT
271071010301
RES;1 ,1/16W,5% ,0603,SMT
PR573,PR578
411673450007
PWA;PWA-8640L,T/P BD W/O C/R,T/U
271071122102
RES;1.2K ,1/16W,1% ,0603,SMT
PR525
411673440001
PWA;PWA-8640M,MOTHER BD
271071152302
RES;1.5K ,1/16W,5% ,0603,SMT
R11
411673440003
PWA;PWA-8640M,MOTHER BD,SMT
271071102211
RES;10.2K,1/16W,1% ,0603,SMT
R5
411673440002
PWA;PWA-8640M,MOTHER BD,T/U
271071106301
RES;10M ,1/16W,5% ,0603,SMT
R732
411673420004
PWA;PWA-8640P,MOTHER BD GERICOM
271071111101
RES;110 ,1/16W,1% ,0603,SMT
R93
411673420006
PWA;PWA-8640P,MOTHER BD,SMT GERI
271071113001
RES;113 ,1/16W,1% ,0603,SMT
R587
411673420005
PWA;PWA-8640P,MOTHER BD,T/U GERI
271071113101
RES;11K ,1/16W,1% ,0603,SMT
PR585
411673400014
PWA;PWA-8640P,T/P BD,T/U
271071121211
RES;12.1K,1/16W,1% ,0603,SMT
PR49,PR50,R623
411673400004
PWA;PWA-8640P/M,T/P BD
271071131101
RES;130 ,1/16W,1% ,0603,SMT
R714
411503400205
PWA;PWA-STINGRAY/INVERTER BD,MSL
271071154101
RES;150K ,1/16W,1% ,0603,SMT
R1
411503400206
PWA;PWA-STINGRAY/INVERTER BD,SMT
271071169311
RES;169K ,1/16W,1% ,0603,SMT
PR563
332810000034
PWR CORD;250V/2.5A,2P,BLK,EU,175
271071105301
RES;1M ,1/16W,5% ,0603,SMT
R36,R43
332810000043
PWR CORD;250V/3A,2P,BLACK,UK
271071222302
RES;2.2K ,1/16W,5% ,0603,SMT
R649,R701,R900,R901
332810000102
PWR CORD;250V10A,2P,BLK,CHINA,15
271071225301
RES;2.2M,1/16W,5% ,0603,SMT
R29,R31
297212000003
RELAY;REED,200V,.5A,NORMAL OPEN,
SW5
271071249111
RES;2.49K,1/16W,1% ,0603,SMT
R659
271045047101
RES;.004,1W,1%,2512,SMT
PR543,PR544,PR545,PR546
271034278301
RES;2.7 ,1/2W ,5% ,2010,SMT
R515
271045087101
RES;.008 ,1W ,1% ,2512,SMT
PR572
271071272101
RES;2.7K ,1/16W,1% ,0603,SMT
PR533,PR55
271045107101
RES;.01 ,1W ,1% ,2512,SMT
PR559
271071272301
RES;2.7K ,1/16W,5% ,0603,SMT
R38,R39
271045127102
RES;.012,1W,1%,2512,SMT
PR3
271071200101
RES;20 ,1/16W,1% ,0603,SMT
R92
271045157101
RES;.015 ,1W ,1% ,2512,SMT
PR6
271071201103
RES;200,1/16W,1%,0603,SMT
R548,R549,R558,R560,R586,R604
271045357101
RES;.035,1W,1%,2512,SMT
PR577
271071204101
RES;200K ,1/16W,1% ,0603,SMT
PR41
271046507101
RES;.05 ,2W ,1% ,2512,SMT,PRC
R,11,R12,R13,R14
271071203302
RES;20K ,1/16W,5% ,0603,SMT
R14,R45,R46,R49,R50,R52,R53
271045108101
RES;.1 ,1W ,1% ,2512,SMT
PR4,PR5
271071215211
RES;21.5K,1/16W,1% ,0603,SMT
PR571
271002000301
RES;0 ,1/10W,5% ,0805,SMT
PL2,PL3
271071221301
RES;220 ,1/16W,5% ,0603,SMT
R693
166
8640 N/B Maintenance
9. Spare Part List-30
Part Number Description
Location(S)
Part Number Description
Location(S)
271071224301
RES;220K ,1/16W,5% ,0603,SMT
R14,R20
271071473301
RES;47K ,1/16W,5% ,0603,SMT
PR503,PR560,R13,R187,R22,R562
271071237311
RES;237K ,1/16W,1% ,0603,SMT
PR13
271071487211
RES;48.7K,1/16W,1% ,0603,SMT
R7
271071267211
RES;26.7K,1/16W,1% ,0603,SMT
PR14
271071499211
RES;49.9K,1/16W,1% ,0603,SMT
PR536
271071270301
RES;27 ,1/16W,5% ,0603,SMT
R603
271071518301
RES;5.1 ,1/16W,5% ,0603,SMT
PR51
271071274301
RES;270K ,1/16W,5% ,0603,SMT
R37
271002515302
RES;5.1M ,1/8W ,5% ,0805,SMT,PRC
R10
271071294311
RES;294K ,1/16W,1% ,0603,SMT
R813
271071562301
RES;5.6K ,1/16W,5% ,0603,SMT
R28
271071205101
RES;2M ,1/16W,1% ,0603,SMT
R11
271071510101
RES;51 ,1/16W,1% ,0603,SMT
R108,R59,R611,R619,R645,R648,R
271071301211
RES;30.1K,1/16W,1% ,0603,SMT
PR47
271071560301
RES;56 ,1/16W,5% ,0603,SMT
R140,R142,R625,R626,R639,R640
271071301011
RES;301 ,1/16W,1% ,0603,SMT
R88
271071563101
RES;56K ,1/16W,1% ,0603,SMT
PR536
271071301311
RES;301K ,1/16W,1% ,0603,SMT
PR576
271071563302
RES;56K ,1/16W,5% ,0603,SMT
PR1,R201
271071330302
RES;33 ,1/16W,5% ,0603,SMT
PR52,R111,R21,R644,R652,R654,R
271071604111
RES;6.04K,1/16W,1% ,0603,SMT
R80
271071333101
RES;33K ,1/16W,1% ,0603,SMT
R10,R11,R23,R556
271071604811
RES;60.4 ,1/16W,1% ,0603,SMT
R156
271071374211
RES;37.4K,1/16W,1% ,0603,SMT
PR510
271071620102
RES;62,1/16W,1% 0603,SMT
R550,R551,R557,R559,R576,R668
271071390302
RES;39 ,1/16W,5% ,0603,SMT
R610
271071631101
RES;63.4,1/16W,1% ,0603,SMT
R84
271002472301
RES;4.7K ,1/10W,5% ,0805,SMT
PR513,PR514
271071681101
RES;680 ,1/16W,1% ,0603,SMT
R606
271071472101
RES;4.7K ,1/16W,1% ,0603,SMT
PR16,PR46,R116,R162,R196,R198
271071683101
RES;68K ,1/16W,1% ,0603,SMT
R12
271071472302
RES;4.7K ,1/16W,5% ,0603,SMT
R4,R64,R66,R7,R74,R75,R77,R79,
271071698311
RES;698K ,1/16W,1% ,0603,SMT
R509
271071499111
RES;4.99K,1/16W,1% ,0603,SMT
PR58,R638
271071750101
RES;75 ,1/16W,1% ,0603,SMT
R511,R512,R513,R514,R585,R695
271071402311
RES;402K ,1/16W,1% ,0603,SMT
PR555
271071750311
RES;750K,1/16W,1% ,0603,SMT
PR10,PR556
271071411102
RES;412,1/16W,1% ,0603,SMT
R135
271071887211
RES;88.7K,1/16W,1% ,0603,SMT
R6
271071442311
RES;442K,1/16W,1% ,0603,SMT
PR15
271071909101
RES;9.09K,1/16W,1% ,0603,SMT
PR18,PR553
271071471101
RES;470 ,1/16W,1% ,0603,SMT
R21,23,27
271071909011
RES;909 ,1/16W,1% ,0603,SMT
R14
271071474301
RES;470K ,1/16W,5% ,0603,SMT
PR1,PR2,PR523,PR528,PR547,PR
271611000301
RP;0*4 ,8P ,1/16W,5% ,0612,SMT
RP502,RP503,RP504,RP506,RP52
271071475011
RES;475 ,1/16W,1% ,0603,SMT
R195,R677,R725
271571000301
RP;0*8 ,16P ,1/16W,5% ,1606,SM
RP17,RP18,RP19,RP524,RP525,R
271071473101
RES;47K ,1/16W,1% ,0603,SMT
PR501
271571100301
RP;10*8 ,16P ,1/16W,5% ,1606,SM
RP12,RP13,RP14,RP15,RP16,RP2
167
8640 N/B Maintenance
9. Spare Part List-31
Part Number Description
Location(S)
Part Number Description
271611102301
RP;1K*4 ,8P ,1/16W,5% ,0612,SMT
RP1,RP529
361400003021
SOLDER CREAM;NOCLEAN,P4020870980
271621102302
RP;1K*8 ,10P,1/32W,5% ,1206,SMT
RP4
600100010010
SOLDER WIRE;63/37,0.5,CM,N/C,PRC
271611330301
RP;33*4 ,8P ,1/16W,5% ,0612,SMT
RP11
370102610302
SPC-SCREW;M2.6L3,NIB,K-HD,NYLOK
271571330301
RP;33*8 ,16P ,1/16W,5% ,1606,SM
RP530,RP531,RP532,RP533,RP53
370102610405
SPC-SCREW;M2.6L4,NIW,K-HD,t=0.8,
271611472301
RP;4.7K*4,8P ,1/16W,5% ,0612,SMT
RP528
370102630601
SPC-SCREW;M2.6L6,HDt0.5,NIWNLK
271621472303
RP;4.7K*8,10P,1/16W,5% ,1206,SMT
RP26
370102610805
SPC-SCREW;M2.6L8,K-HD,NIW/NLK
271621471301
RP;470*4,8P,1/16W,5%,1206,SMT
RP8
370102010205
SPC-SCREW;M2L2(t0.3),N/W/WLK
271621473301
RP;47K*8 ,10P,1/16W,5% ,1206,SMT
RP10,RP521,RP522
370102010256
SPC-SCREW;M2L2.5,K-HD(t0.5) NLK,
271611750301
RP;75*4 ,8P ,1/16W,5% ,0612,SMT
RP501,RP505
370102030301
SPC-SCREW;M2L3,K-HD,1,NIB/NLK
271611822301
RP;8.2K*4,8P ,1/16W,5% ,0612,SMT
RP519
370102010303
SPC-SCREW;M2L3,NIW,K-HD(+),NYLOK
271621822302
RP;8.2K*8,10P,1/32W,5% ,1206,SMT
RP511,RP512,RP520
370102030302
SPC-SCREW;M2L9,NIB,K-HD,NYLOK
271621824301
RP;820K*4,8P,1/32W,5%,1206,SMT
RP1,RP2
370103010405
SPC-SCREW;M3L4,NIW,K-HD,T0.3
345503400501
RUBBER;3MM,ROUND,8175
370103010604
SPC-SCREW;M3L6,NIB,K-HD,t0.8,NYL
345673400003
RUBBER;DOWN,LCD,8640S
340673400006
SPEAKER ASSY;BOX ,8640S
345672300001
RUBBER;LCD,UP,MANGUSTA
345673410022
SPONGE;2ND-FAN,25*10*4.15,8640G
565167340001
S/W;CD ROM,SYSTEM DRIVER,8640G/P
341672400005
SPRING;HDD,SCORPIO
565167340003
S/W;CD ROM,SYSTEM DRIVER,8640S
377244010002
STANDOFF;#4-40DP3.5H5L5.5,NIW
565167000013
S/W;CD-ROM,B'S RECORDER GOLD2.0
341668300008
STANDOFF;MDC MODEM,NLK,HOPE
340673400009
SCREW ASSY;CPU,8640S
297004010001
SW;PUSH BUTTOM,5P,SPST,12VDC,50m
371102011502
SCREW;M2L15,FLT(+),NIW/NLK
225600000061
TAPE;ADHENSIVE,DOUBLE-FACE,W20,U
340673420003
SHIELDING ASSY;TOP,8640P
225600000054
TAPE;INSULATING,POLYESTER FILM,1
340673400012
SHIELDING ASSY;TOP,8640S
340673420002
THERMAL ASSY;8640P
333020000002
SHRINK TUBE;600V,105'C,D0.8*11.5
340673400010
THERMAL ASSY;8640S
333050000107
SHRINK TUBE;UL,600V,105'C,ID2.5*
345673400007
THERMAL PAD;302LV,8640S
561860000022
SINGLE PAGE;GN,NOTE FOR BATTERY&
345673400005
THERMAL PAD;MOS,CHOKE,8640S
Location(S)
SW2,SW3
168
8640 N/B Maintenance
9. Spare Part List-32
Part Number Description
Location(S)
Part Number Description
Location(S)
345673400004
THERMAL PAD;MOS,POWER,8640S
288209410001
TRANS;SI9410DY,N-MOSFET,.04OHM,S
Q3
345673400008
THERMAL PAD;VGA,8640S
288208107001
TRANS;TPC8107,13A/30V,P-MOSFET,S
Q2,Q2A,Q2B,Q3,Q3A,Q3B
310111103012
THERMISTOR;10K,1%,RA,0603,1P
273001050028
TRANSFORMER;10/100 BASE,LF-H41S,
U5
310111103017
THERMISTOR;10K,1%,RA,DISK,103AT-
373101712351
T-SCREW;B,M1.7,L2.35,K-HD,2,NIB
442110500012
TOUCH PAD MODULE;TM41PUG311-2
373102010601
T-SCREW;B,M2,L6,K-HD,NIB
288227002001
TRANS;2N7002LT1,N-CHANNEL FET
PQ1,PQ501,PQ504,PQ509,PQ510
373101713502
T-SCREW;B.M1.7L3.5,HD04t0.25,0,B
628820014401
TRANS;DTA144EKA,PNP,100MA,50V,SO
Q4,Q5
270140000003
VARISTOR;280V,5.6X3.8MM,TVB280-0
S1
288200144001
TRANS;DTC144WK,NPN,SOT-23,SMT
PQ508,PQ517,Q518
311821002101
VR;10K,CF,.02W,30%,RVR16H-013-B1
VR501
288204835002
TRANS;FDS4835,PMOS,8.8A/30V,20MO
PQ502,PQ503,PU23,PU515,PU51
345673410023
WASHER;2ND-FAN,5*2.5,0.35,8640G
288206680003
TRANS;FDS6680S,N-MOSFET,.017OHM,
PU21,PU511
441673410002
WIRE ASSY;ANTENNA,8640G/P/M
288207764001
TRANS;FDS7764A,N-MOS,.0075OHM,SO
PU2,PU514
421671600010
WIRE ASSY;INVERT,8175
288200302001
TRANS;FDV302P,P-CHANNEL,SOT23
Q2,Q4,Q5
421673410002
WIRE ASSY;L,ANTENNA,8640G
288203904010
TRANS;MMBT3904L,NPN,Tr35NS,TO236
Q508,Q7,Q8
421673420005
WIRE ASSY;LCD,HANN,15",XGA,8640P
288203906002
TRANS;MMBT3906L,40V,200mA,SOT23,
Q1
421673400001
WIRE ASSY;LCD,QDI,14",8640S
288203906018
TRANS;MMBT3906L,PNP,Tr35NS,TO236
Q507
332110020076
WIRE; #20,UL1007,200MM,RED,PRC
328202003001
TRANS;MTD20N03HDL,N-MOSFET,2A,30
PU503
332110020075
WIRE; #20,UL1007,75MM,RED,SO,LID
288202302001
TRANS;SI2302DS,N-MOSFET,SOT-23
Q19
332110020077
WIRE;#20,UL1007,124MM,RED,PRC
288202303001
TRANS;SI2303DS,P-MOSFET,SOT-23
PQ505
332110020079
WIRE;#20,UL1007,57MM,BROWN,SO,LI
288104362001
TRANS;SI4362DY,N-HOSFET,S08
PU12,PU15,PU506,PU508
332110020070
WIRE;#20,UL1007,74MM,BLACK,PRC
288204410001
TRANS;SI4410DY,N-MOSFET,.02OHM,S
PU19
332110028113
WIRE;#28,UL1061,114MM,YELLOW,PRC
288204532001
TRANS;SI4532DY,N&P-MOSFET,SO8,PR
U2
332110028112
WIRE;#28,UL1061,155MM,ORANGE,PRC
288204800001
TRANS;SI4800DY,N-MOS,.0185OHM,SO
PU10,PU3,PU4,PU501,PU509,PU
332110028114
WIRE;#28,UL1061,58MM,BLUE,PRC
288204816001
TRANS;SI4816DY,2 N-MOSFET,30V,SO
PU2
332110028108
WIRE;#28,UL1061,86MM,BLACK,PRC
288204832001
TRANS;SI4832DY,N-MOSFET,.028OHM,
PU5
273001050062
XSFORMER;CI8.5,SIT16260,16/2600T
T1
288204892001
TRANS;SI4892DY,N-MOSFET,SO8
PU1,PU11,PU14,PU18,PU505,PU
274011431408
XTAL;14.318M,50PPM,32PF,7*5,4P,S
X503
RT1
169
8640 N/B Maintenance
9. Spare Part List-33
Part Number Description
Location(S)
274011600408
XTAL;16MHZ,16PF,50PPM,8*4.5,2P
X508
274012500401
XTAL;25MHZ,30PPM,18PF,4P,SMT
X2,X505
274012700401
XTAL;27MHZ,20PPM,16PF,7*5,4P,SMT
X1
274013276114
XTAL;32.768KHZ,10PPM,12.5PF
X507
170
A
B
MODEL : 8640
Revision 02
1
DRAW
DESIGN
CHECK
ISSUED
1
MTG1
ID4.5/OD7.5
3
2
1
MTG5
ID3.0/OD7.6
4
5
6
12
11
10
13
12
11
10
4
5
6
7
8
9
4
5
6
MTG2
ID3.0/OD7.6
12
11
10
7
8
9
12
11
10
7
8
9
4
5
6
3
2
1
MTG8
ID3.0/OD7.6
3
2
1
3
2
1
MTG12
ID3.0/OD7.6
12
11
10
7
8
9
4
5
6
7
8
9
12
11
10
1
1
1
7
8
9
7
8
9
7
8
9
MTG17
ID3.0/OD7.6
3
2
1
4
5
6
E507
E508
E520
E519
E518
TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9
1
MTG11
ID4.5/OD7.5
1
1
1
E513
E514
E503
TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9
1
13
12
11
10
4
5
6
3
2
1
3
2
1
3
2
1
MTG16
ID3.0/OD7.6
12
11
10
1
1
1
1
E504
TOUCHPAD_METAL9
E517
E516
E512
E515
E502
TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9
12
11
10
4
5
6
MTG3
ID3.0/OD7.6
3
2
1
MTG10
ID3.0/OD7.6
3
2
1
MTG14
ID3.0/OD7.6
3
2
1
1
1
1
1
1
4
5
6
12
11
10
4
5
6
2
12
11
10
7
8
9
1
1
1
1
7
8
9
7
8
9
GND_USB
E501
E505
E510
E506
E509
TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9 TOUCHPAD_METAL9
1
LAN_GND
GND_USB
E2
E1
TOUCHPAD_METAL9 TOUCHPAD_METAL9
For CPU FAN
1
For NB heatshink
1
MTG7
ID3.2/OD6.0
1
1
1
MTG9
ID3.2/OD6.0
1
FD503
FIDUCIAL-MARK
FD501
FIDUCIAL-MARK
IDSEL
STATE
VOTAGE
MTG15
ID3.2/OD6.0
FD1
FIDUCIAL-MARK
POWER STATES
SIGNAL
MTG4
ID3.2/OD8.0
1
FD504
FIDUCIAL-MARK
1
FD502
FIDUCIAL-MARK
FD4
FIDUCIAL-MARK
1
FD3
FIDUCIAL-MARK
1
FD2
FIDUCIAL-MARK
MTG6
ID3.2/OD8.0
1
1
MTG13
ID3.2/OD8.0
1
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
1
2
COVER SHEET & SCREW HOLE
System Block Diagram
Power Block Diagram
P4-CPU (1/2)
P4-CPU (2/2)
SIS M650(1/3)
SIS M650(2/3)
SIS M650(3/3)
TV/LVDS ENCODER(SiS301LV/CH7019)
nVidia MAP17/31(1/2)
nVidia MAP17/31(1/2)
LCD & CRT Interface
Clock Generator/Buffer
DDR SO-DIMM
SIS962(1/3)
SIS962(2/3)
SIS962(3/3)
IDE Interface
Card Bus
PHY Of LAN & MDC
IEEE1394a/USB 2.0/Parallel Port
Super I/O & Flash ROM
MiNi-PCI & USB 2.0
Embeded Controller
Invter Conn. and +1.8VS/+1.5VS/+2.5V_DDR/VDD_MEM2.5
Battery Conn. & +5VA/+1.2VS/+3VA
Charger
CPU Core
+3V/+5V
DC Jack & +12VS/+5VS/+3VS
History
4
5
6
1
Page
Title
TP26
TP8
TP18
TP24
TOUCHPAD_METAL8 TOUCHPAD_METAL8 TOUCHPAD_METAL8 TOUCHPAD_METAL8
1
Contexts
FULL ON
STR
STD
MEC-OFF
-SUSB
-
HIGH
LOW
LOW
LOW
-SUSC
-
HIGH
HIGH
LOW
LOW
ADP
REMARK
IDSEL
AD20
AD21
AD22
BUS MASTER
CHIP
TI1410
MINI PCI
MINI PCI
+19V
O
O
O
O
PCIINT
BATTERY
+12V
O
O
O
O
+VCC_RTC
+3.3V
O
O
O
O
+VCC_CORE
+1.75V
O
O
X
X
+1.8VS
+1.8V
O
X
X
X
PCIINT
INTA#
INTB#
INTC#
INTD#
+1.8V
+1.8V
O
O
X
X
+1.8VA
+1.8V
O
O
O
O
+2.5V_DDR
+2.5V
O
O
X
X
REQ/GNT
-REQ0/-GNT0
-REQ2/-GNT2
-REQ3/-GNT3
CHIP
TI1410
MINI PCI
MINI PCI
CHIP
SIS 650 / MAP17
PCMCIA (TI1410)
MINI PCI
MINI PCI
Board Stackup-up
+3VS
+3.3V
O
X
X
X
4.33 mil
PP 2116
+3V
+3.3V
O
O
X
X
4.92 mil
FR4
+3VA
+3.3V
O
O
O
0
8.07 mil
PP 7628
+5VS
+5V
O
X
X
X
4.72 mil
FR4
+5V
+5V
O
O
X
X
8.07 mil
PP 7628
+5VA
+5V
O
O
0
0
4.92 mil
FR4
+12VS
+12V
O
X
X
X
4.33 mil
PP 2116
1
COMP
1.79 mil
GND
0.54 mil
IN-1
0.54 mil
IN-2
0.54 mil
POWER
0.54 mil
IN-3
0.54 mil
GND
0.54 mil
SOLDER 1.79 mil
+12V
+12V
O
O
X
X
Title
COVER SHEET & SCREW HOLD
Size
Date:
A
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
B
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
1
of
32
A
B
8640
DT/Mobile Pentium 4
Willamette/Northwood
C.P.U.
ADM 1032
Micro-FCPGA 478 pin
-HD[0..63]
nVIDIA
MAP 17
Power Switch
SSOP 16
AV/RGB
Control
2
200 Pin DDR SO-DIMM Socket*2
S-VIDEO
HOST
SIS301LV
TV
2
DDR SO-DIMM
D[0..15]
A[0..25]
692PBGA
Control
TPS2211
IC CARD
Socket
-HA3..31]
Thermal Recorder
AGP BUS
MINI PCI SLOT
PCI1410A
LVDS
Pannel
AGP
128-pin LQFP
SDRAM
Type III A
PCMCIA
CONTROLLER
702-Balls BGA
CRT
Local
PQFP 144
HyperZip
Data Bus 266MHz
512MB/sec
13
LAN PHY
10/100 M
MII
LAN
MuTIOL Media I/O
8
DUAL USB
HyperZip
PCI
SMK Cardreader
Connector
ND3050-LA
Control
HUB[0..11]
Control
AD[0..31]
PCI BUS
RJ45
Hyper Zip
AD[0..31]
Control
AD[0..31]
Control
Memory
USB 2.0
IEEE1394
USB
ICS1893
External
Microphone
MINI
Internal
Microphone
IEEE1394
PHY
RTL8801
Ultra DMA 33/66/100
SiS 962
IDE
371-Balls BGA
Ultra DMA 33/66/100
LPC
AC Link
5
AC'97
Internal
Speaker
Realtek ALC202
Audio Codec
PQFP 48
5
IR Module
HP-3600
PC87393F
Amplifier
SPDIF
JACK
M.D.C.
RJ-11
JACK
(30 pin)
ISA BUS
Super I/O
PRINTER
PORT
LM 4835
FWH
LPC
Secondary EIDE
(CDROM/DVD)
Primary EIDE (HDD)
1
DDR SDRAM
PC2100
Memory Bus / 266MHz
SiS M650/645DX
Cover Switch
H8-3437S
Keyboard Controller
Keyboard
PQFP 100
TQFP 100PIN
1
PS/2
Power Button
Flash ROM
FAN1 For CPU
512KB
PLCC 32
Touch PAD
16MHz
FAN2 For D/D
Title
SYSTEM BLOCK DIAGRAM
Size
Date:
A
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
B
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
2
of
32
5
4
3
2
+1.8VS
POWER BLOCK DIAGRAM OF THE
8640A(mode1.2.3)
+5V_CD
D
+3V
Diode
Protector
D/VMAIN
DC to DC Convertor
MAX1632
Shut Down
MOSFET
Vcc Core DC to DC Convertor
Celeron & DT for LTC1709EG-9
Mobile for LTC3716
Charge
Always
B
H8 D/A
A
+12VS
D/A
CPU_CORE
845M
NV17-MAP
3.5A
?
CPU_CORE
M-P4
40A
+1.8VS
845M
ICH3M
800mA
470mA
+1.8V_ICH
ICH3M
64mA
VDDR_MEM2.5
DDR
NV17-MAP
4A
?
NV17-MAP
ICH3M
RTL8139CL
IEEE1394
PCI4410
PCMCIA CARD
?
26mA
330mA
69mA
79mA
500mA
ICH3M
CLOCK
NV17-MAP
SIO
LCD
420mA
280mA
?
50mA
1.5A
+3V_ICH
ICH3M
14mA
+5V
MODEM
PCMCIA CARD
?
500mA
+5VS
IDE
ALC200
USBX2
900mA
40mA
4A
+5VA
H8
40mA
+5V_CD
AUDIO AMP
CD_ROM
1A
1.5A
+1.8V
Regulator
SI4800-1.8V
C
+1.8VS
SWITCH
SI4800
DDR_2.5V
SWITCH
SI4800
DDR DC to DC Converter
LTC3707
VDD_MEM2.5V
+1.5VS
SWITCH
SI4800
REF DC to DC Convertor
CM8500
REF_1.25V
+5VA
B
High
Low
Side
Choke
Rsense
+5VA
+3VA
Regulator
AME8800
DCIN
SW
+5VAS
SWITCH
SI2301
CHARGING
ADINP_2
I_LIMIT
ADINP_1
CC
A/D
?
+1.5VS
Regulator
LP2951-5V
ADINP
LI_OVP
NV17-MAP
+5VS
Shut Down
MOSFET
Diode
Protector
2A
+1.2VS
+3VS
+5V
Adaptor / Battery
Change
Switch
Battery
Pack
4uA
DDR
+3V
+12V
Discharge
ICH3M
REF_1.25V
+3VS
Shut Down
MOSFET
learning
C
RTC
D
SUSB#
3.3V&5V&12V
I_Limit
Rsense
* OPTION(NO LINK)
CPU_CORE_EN
PWR_ON
ADAPTOR
+1.2VS
LDO
SC1565-1.2V
5V DC to DC Convertor
FAN5234
Selfdischarge
SWITCH
1
CHG_I
PWM
Charge IC
MAX1772
+2.8VS
Regulator
AME8801CEEV
+3VS
CC
CHARGE
SWITCH
Li-ovp
A
CV
MUST BE MEET ICH2
POWER ON SEQUENCE
Title
Power Block Diagram
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
3
of
32
5
4
3
2
1
CPU (1/2)
D
TP12
6
6
U8A
HA#3
K2
HA#4
K4
HA#5
L6
HA#6
K1
HA#7
L3
HA#8
M6
HA#9
L2
HA#10
M3
HA#11
M4
HA#12
N1
HA#13
M1
HA#14
N2
HA#15
N4
HA#16
N5
HA#17
T1
HA#18
R2
HA#19
P3
HA#20
P4
HA#21
R3
HA#22
T2
HA#23
U1
HA#24
P6
HA#25
U3
HA#26
T4
HA#27
V2
HA#28
R6
HA#29
W1
HA#30
T5
HA#31
U4
H_ADSTB#1 R5
H_ADSTB#0 L5
H_REQ#4
H3
H_REQ#3
J3
H_REQ#2
J4
H_REQ#1
K5
H_REQ#0
J1
AB1
Y1
W2
V3
H_ADSTB#1
H_ADSTB#0
C
6
H_REQ#[0..4]
H_REQ#[0..4]
1
HD#[0..63]
HD#[0..63]
U8B
HA#[3..31]
HA#[3..31]
1
6
6
D
TP13
A#3
A#4
A#5
A#6
A#7
A#8
A#9
A#10
A#11
A#12
A#13
A#14
A#15
A#16
A#17
A#18
A#19
A#20
A#21
A#22
A#23
A#24
A#25
A#26
A#27
A#28
A#29
A#30
A#31
ADSTB#1
ADSTB#0
REQ#4
REQ#3
REQ#2
REQ#1
REQ#0
A#35
A#34
A#33
A#32
H_ADS#
ADS#
AP#0
AP#1
BINIT#
BNR#
G1
AC1
V5
AA3
G2
DP#3
DP#2
DP#1
DP#0
L25
K26
K25
J26
TESTHI8
TESTHI9
TESTHI10
BR#0
U6
W4
Y3
H6
TESTHI8
TESTHI9
TESTHI10
H_BR#0
BPRI#
D2
H_BPRI#
DBSY#
DEFER#
DRDY#
HIT#
HITM#
H5
E2
H2
F3
E3
H_DBSY#
H_DEFER#
H_DRDY#
H_HIT#
H_HITM#
IERR#
INIT#
LOCK#
MCERR#
RESET#
RS#2
RS#1
RS#0
RSP#
TRDY#
H_BNR#
H_BNR#
6
H_BR#0
6
H_DBSY# 6
H_DEFER# 6
H_DRDY# 6
H_HIT#
6
H_HITM# 6
2 62
0603
H_INIT#
+VCC_CORE
H_INIT#
H_LOCK#
G4
6
H_BPRI# 6
AC3 R668
1
W5
H_ADS#
16
H_LOCK# 6
V6
6
CPURST#
H_RS#2
H_RS#1
H_RS#0
AB25
F4
G5
F1
AB2
J6
DBI#0
DBI#1
DBI#2
DBI#3
E21
G25
P26
V21
DBI#0
DBI#1
DBI#2
DBI#3
DSTBN#0
DSTBN#1
DSTBN#2
DSTBN#3
E22
K22
R22
W22
DSTBN#0
DSTBN#1
DSTBN#2
DSTBN#3
WMT478/NWD_14
6
DSTBN#[0..3]
U8C
D#31
D#30
D#29
D#28
D#27
D#26
D#25
D#24
D#23
D#22
D#21
D#20
D#19
D#18
D#17
D#16
D#15
D#14
D#13
D#12
D#11
D#10
D#9
D#8
D#7
D#6
D#5
D#4
D#3
D#2
D#1
D#0
D#32
D#33
D#34
D#35
D#36
D#37
D#38
D#39
D#40
D#41
D#42
D#43
D#44
D#45
D#46
D#47
D#48
D#49
D#50
D#51
D#52
D#53
D#54
D#55
D#56
D#57
D#58
D#59
D#60
D#61
D#62
D#63
M23
N22
P21
M24
N23
M26
N26
N25
R21
P24
R25
R24
T26
T25
T22
T23
U26
U24
U23
V25
U21
V22
V24
W26
Y26
W25
Y23
Y24
Y21
AA25
AA22
AA24
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63
13
13
28
28
28
28
28
DSTBN#[0..3]
DSTBP#0
DSTBP#1
DSTBP#2
DSTBP#3
HCLK_CPU
HCLK_CPU#
HCLK_CPU
HCLK_CPU#
TP9
TP10
16
16
16
H_A20M#
H_FERR#
H_IGNNE#
16
16
16
16
H_INTR
H_NMI
H_SMI#
H_STPCLK#
AF22
AF23
AC26
AD26
1
1
C6
B6
B2
A20M#
FERR#
IGNNE#
H_INTR
H_NMI
H_SMI#
H_STPCLK#
D1
E5
B5
Y4
LINT0
LINT1
SMI#
STPCLK#
PVID4
PVID3
PVID2
PVID1
PVID0
PVID4
PVID3
PVID2
PVID1
PVID0
AE1
AE2
AE3
AE4
AE5
VCCPVID
PLL_VCCA
TP506
1
TP503
1
VCCIO_PLL
PLL_VSSA
CPU_THERMDA
CPU_THERMDC
THRMTRIP#
DSTBP#[0..3] 6
F21 DSTBP#0
J23 DSTBP#1
P23 DSTBP#2
W23 DSTBP#3
24 THRMTRIP#
AD6
AD5
A6
L24
P1
BSEL0
BSEL1
CPUPERF#
H_COMP0
H_COMP1
BPM#5
BPM#4
BPM#3
BPM#2
BPM#1
BPM#0
AB4
AA5
Y6
AC4
AB5
AC6
H_BPM#5
H_BPM#4
H_BPM#3
H_BPM#2
H_BPM#1
H_BPM#0
AD24
AE25
AD25
TESTHI0
GTLREF3
GTLREF2
GTLREF1
GTLREF0
AA6
F6
AA21
F20
H_GTLREF
TESTHI5
TESTHI4
TESTHI3
TESTHI2
TESTHI7
TESTHI6
SKTOCC#
AC23
AC24
AC20
AC21
AB22
AA20
AF26
TESTHI5
TESTHI4
TESTHI3
TESTHI2
TESTHI7
TESTHI6
TESTHI1
PWRGOOD
PROCHOT#
SLP#
AA2
AB23
C3
AB26
TESTHI1
CPUPWRGD
H_PROCHOT#
SLP#
D4
C1
D5
F7
E6
ITP_TCK
ITP_TDI
ITP_TDO
ITP_TMS
ITP_TRST#
TESTHI0
DBR#
TESTHI12
VID4
VID3
VID2
VID1
VID0
AF2
AF3
AF4
AD20
A5
AE23
AD22
A4
VCC
RSVD
VCCVID
VCCA
VCCSENSE
VCCIOPLL
VSSA
VSSSENSE
AE21
A22
A7
B3
C4
A2
RSVD
RSVD
RSVD
THRMDA
THRMDC
THRMTRIP#
AD3
AF25
AD2
AF24
BSEL0
BSEL1
TESTHI11
COMP0
COMP1
BCLK0
BCLK1
ITP_CLK0
ITP_CLK1
H_A20M#
H_FERR#
H_IGNNE#
+VCC_CORE
DSTBP#[0..3]
H_RS#[0..2] 6
H_TRDY# 6
H_TRDY#
H25
K23
J24
L22
M21
H24
G26
L21
D26
F26
E25
F24
F23
G23
E24
H22
D25
J21
D23
C26
H21
G22
B25
C24
C23
B24
D22
C21
A25
A23
B22
B21
DBI#[0..3]
DBI#[0..3]
CPURST# 6
H_RS#[0..2]
HD#31
HD#30
HD#29
HD#28
HD#27
HD#26
HD#25
HD#24
HD#23
HD#22
HD#21
HD#20
HD#19
HD#18
HD#17
HD#16
HD#15
HD#14
HD#13
HD#12
HD#11
HD#10
HD#9
HD#8
HD#7
HD#6
HD#5
HD#4
HD#3
HD#2
HD#1
HD#0
DPSLP#
1
BSEL0
13
TP512
CPUPERF# 16
1
TP14
DPSLP#
16
CPU_GTLREF
1
TP11
CPUPWRGD 6
SLP#
16
C
TCK
TDI
TDO
TMS
TRST#
RSVD
RSVD
RSVD
RSVD
WMT478/NWD_14
WMT478/NWD_14
FSB SELECTION
Placement : 3" (Maximum) From CPU
10
9
8
7
6
1K*8
+/- 1 Degree C
C569
2200P
U504
1206
CPU_THERMDC
0603D
RP509
+3VS
8
7
6
5
2
1
2
3
4
D+
D-
SCLK
SDATA
8
7
SCL_THRM
SDA_THRM
1
5
VDD
GND
ALERT
THEPM
6
4
THERM_ADM#
1
TESTHI8
TESTHI9
TESTHI10
2
3
SCL_THRM 24
SDA_THRM 24
0
0
100MHz
0
1
133MHz
1
0
RESERVED
1
1
RESERVED
R655
200
0603
2
R90
200
0603
2
R94
200
0603
FUNCTION
1
1
1
R662
200
0603
2
2
R548
200
0603
BSEL0
B
THERM_ADM# 24
R94 must be pull hi at DT.Because
the signal was input of TEST_HI12.
ADM1032
SO8
C550
0.1U
0603
50V
R604
200
0603
2
2
R586
200
0603
1
1
1
1
R558
200
0603
2
2
CPUPERF#
H_A20M#
H_IGNNE#
H_INTR
H_NMI
H_SMI#
H_STPCLK#
DPSLP#
SLP#
H_INIT#
CPU_THERMDA
2
B
TESTHI0
TESTHI1
TESTHI2
TESTHI3
1
1
2
3
4
5
R549
200
0603
2
R560
200
0603
RP4
TESTHI4
TESTHI5
TESTHI6
TESTHI7
1
1
+VCC_CORE
Change to 10Kohm
1
FOR MOBILE ONLY
+VCC_CORE
2
CPU Temperature Monitor
BSEL1
10K*4
1206
PLL SUPPLY FILTER
CPU SIGNAL TERMINATION
PLACE CLOSE TO CPU SOCKET
+VCC_CORE
H_BPM#5
H_BPM#4
H_BPM#3
H_BPM#2
H_BPM#1
H_BPM#0
2
CPUPWRGD
PLL_VCCA
1
1
R557
62
0603
R547
62
0603
2
R576
62
0603
2
THRMTRIP#
H_PROCHOT#
PLACE THESE INSIDE SOCKET CAVITY
FOR DT
FOR MOBILE
ITP_TCK
ITP_TRST#
DT
: 51 ohm
MOBILE: NA
1
2
7343 C693
2
1
20%
16V 33U
R603
27
0603
1%
VCCIO_PLL
2
+
CLOSE TO CPU SOCKET
CPURST#
H_COMP1
ITP_TDI
ITP_TDO
ITP_TMS
H_BR#0
CPURST#
PLL_VSSA
C602
220P
0603
5%
2
0603
A
1
1
C650
220P
0603
5%
2
1
C644
1U
0805
5%
2
R646
100
0603
1%
A
1
0603 1%
H_FERR#
H_COMP0
R606
680
0603
2
CPU_GTLREF
2
2
51.1
PLACE AT CPU END
R648
L528
4.7UH
2012
1
1
+
+VCC_CORE
7343
C696
2
1
20%
16V 33U
2
L527
4.7UH
2012
2
0603
2
R679
51.1
0603
1%
2
R673
51.1
0603
1%
2
R667
51.1
0603
1%
1
51.1
R619
1%
1
51.1
1%
1
1
1
R680
51.1
0603
1%
2
R674
51.1
0603
1%
2
R681
51.1
0603
1%
1
1
1
R577
150
0603
1%
2
2
R585
75
0603
2
R610
39
0603
1%
62
0603
1%
2
R559
1
1
2
R88
301
0603
2
R611
51.1
0603
1%
1
1
1
1
R89
51.1
0603
1%
2
1
C604
220P
0603
5%
1
2
1
C651
220P
0603
5%
2
1
2
1
C640
1U
0805
5%
R703
0
0603
VCCPVID
R59
2
R647
100
0603
1%
2
0603 1%
1
51.1
R700
0
0603
H_GTLREF
2
2
R645
1
2
+VCC_CORE
+VCC_CORE
PLACE AT CPU END
1
1
D/T
1
+VCC_CORE
MOBILE
1.5" MAX.
PRECISION FSB COMPENSATION RESISTORS
+VCC_CORE
1
VCCPVID
2
GTL Reference CKT
One 220PF for each GTL REF Pin
CP1812_7243 SHAPE
Title
PENTIUM4 (1/2)
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
4
of
32
5
4
3
2
1
CPU (2/2)
+VCC_CORE
D
M25
M22
E11
E9
E26
E7
E4
E1
E23
E19
F18
F16
F14
F12
F10
F8
F5
F2
F25
F22
G6
G3
G24
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
WMT478/NWD_14
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
AE7
AE24
AE22
AE19
AD14
AD12
AD10
AD8
AD4
AD1
AD23
AD21
AE17
AE15
AE13
AE11
AE9
AE26
AB20
AC17
AC15
AC13
AC11
AC9
AC7
AC5
AC2
AC25
AC22
AC19
AD18
AD16
AA4
AA1
AA23
AA19
AB18
AB16
AB14
AB12
AB10
AB8
AB6
AB3
AB24
AB21
V4
V1
V23
W6
W3
W24
W21
Y5
Y2
Y25
Y22
AA17
AA15
AA11
AA9
AA26
AA7
C
AF8 VSS
AF6 VSS
AF1 VSS
AF20VSS
A17 VSS
A15 VSS
A13 VSS
A11 VSS
A9 VSS
A26 VSS
AA13VSS
B14 VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
C5 VSS
C2 VSS
C25 VSS
C22 VSS
C19 VSS
D18 VSS
D16 VSS
D14 VSS
D12 VSS
D10 VSS
D8 VSS
D6 VSS
D3 VSS
D24 VSS
D21 VSS
D20 VSS
E17 VSS
E15 VSS
E13 VSS
A3 VSS
A24 VSS
A21 VSS
A19 VSS
B18 VSS
B16 VSS
B12 VSS
B10 VSS
B26 VSS
B8 VSS
B4 VSS
B23 VSS
B20 VSS
C17 VSS
C15 VSS
C13 VSS
C11 VSS
C9 VSS
C7 VSS
AF18VSS
AF16VSS
AF14VSS
AF12VSS
AF10VSS
C
N6
N3
N24
N21
P5
P2
P25
P22
R26
R4
R1
R23
T6
T3
T24
T21
U5
U2
U25
U22
V26
G21
H26
H4
H1
H23
J5
J2
J25
J22
K6
K3
K24
K21
L26
L4
L1
L23
M5
M2
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
U8D
A8
A10
A12
A14
A16
A18
A20
B7
B9
B11
B13
B15
B17
B19
C8
C10
C12
C14
C16
C18
C20
D7
D9
D11
D13
D15
D17
D19
E8
E10
E12
E14
E16
E18
E20
F9
F11
F13
F15
F17
F19
AA8
AA10
AA12
AA14
AA16
AA18
AB7
AB9
AB11
AB13
AB15
AB17
AB19
AC8
AC10
AC12
AC14
AC16
AC18
AD7
AD9
AD11
AD13
AD15
AD17
AD19
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AF5
AF7
AF9
AF11
AF13
AF15
AF17
AF19
AF21
D
B
B
+VCC_CORE
1
C562
10U
1206
10V
C563
10U
1206
10V
C51
150U
7343
10V
2
C561
10U
1206
10V
2
1
1
C560
10U
1206
10V
2
2
C75
10U
1206
10V
2
1
1
C73
10U
1206
10V
2
1
C71
10U
1206
10V
2
1
C74
10U
1206
10V
2
1
C72
10U
1206
10V
2
2
1
+
+
C567
150U
7343
10V
2
+VCC_CORE
1
+VCC_CORE
Place these caps at CPU south side
1
Place these caps at CPU solder side
+VCC_CORE
+VCC_CORE
1
C597
0.1U
0603
50V
2
1
C667
0.1U
0603
50V
2
1
C581
0.1U
0603
50V
2
C666
0.1U
0603
50V
2
C616
10U
1206
10V
2
1
C611
10U
1206
10V
2
1
C566
10U
1206
10V
2
1
C565
10U
1206
10V
2
C564
10U
1206
10V
2
2
C617
10U
1206
10V
1
1
1
C620
10U
1206
10V
2
1
C619
10U
1206
10V
2
1
C610
10U
1206
10V
2
1
2
1
2
C91
10U
1206
10V
1
+VCC_CORE
1
+VCC_CORE
C596
0.1U
0603
50V
+VCC_CORE
1
1
C658
0.1U
0603
50V
C659
0.1U
0603
50V
2
C657
0.1U
0603
50V
2
1
1
C665
0.1U
0603
50V
2
C621
10U
1206
10V
2
C612
10U
1206
10V
1
1
C90
10U
1206
10V
2
1
C609
10U
1206
10V
2
1
C88
10U
1206
10V
2
1
C643
10U
1206
10V
2
1
C641
10U
1206
10V
2
1
C642
10U
1206
10V
2
2
1
2
+VCC_CORE
2
1
Place these caps at CPU north side
C660
0.1U
0603
50V
FOR D/T CPU VID
FOR Mobile CPU VID
+5VS
+5VS
VCCPVID
VCCPVID +5VS
A
1
CPU_CORE_EN
VIN
FC
GND
PG
FB
PGND
L
EN
SYNC
ILIM
C600
1U
0603
1
CPU_CORE_EN 28
1
OUT
5
2
4
C904
10U
1206
10V
2
MIC5248
SOT25
PG
2
IN
EN
GND
1
C608
0.1U
0603
16V
10%
1
3
2
2
2
1
U506
300mA
C905
0.1U
0603
16V
10%
TPS62003
PSOP10_0.5MM
10
9
8
7
6
L539
1
2
10UH
1608
10%
1
2
1
2
3
4
5
2
R609
10K
0603
150mA
U520
R850
100K
0603
1
R602
10
0603
2
1
A
C903
10U
1206
10V
Title
PENTIUM4 (2/2)
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
5
of
32
5
6
AGP_ST0
AGP_ST1
AGP_ST2
AGP_ST0 10
AGP_ST1 10
AGP_ST2 10
H_ADSTB#1
H_ADSTB#0
1
1
2
2
C686
0.01U
0603
1
1
1
HVREF
2
C711
0.1U
0603
50V
2
2
C685
0.01U
0603
Place this cap under 650 solder side
FOR M650 ONLY
+VCC_CORE
W28
W29
W24
W25
Y27
HREQ4#
HREQ3#
HREQ2#
HREQ1#
HREQ0#
AD24
AA24
AF26
AE25
AH28
AD26
AG29
AE26
AF28
AC24
AG28
AE29
AD28
AC25
AD27
AE28
AF27
AB24
AB26
AC28
AC26
AC29
AA26
AB28
AB27
AA25
AA29
AA28
Y26
Y24
Y28
HA31#
HA30#
HA29#
HA28#
HA27#
HA26#
HA25#
HA24#
HA23#
HA22#
HA21#
HA20#
HA19#
HA18#
HA17#
HA16#
HA15#
HA14#
HA13#
HA12#
HA11#
HA10#
HA9#
HA8#
HA7#
HA6#
HA5#
HA4#
HA3#
+1.5VS
2
+3VS
AGP_PAR
E8
F8
D9
AGP_RBF#
AGP_WBF#
AGP_PIPE#
HPCOMP
HNCOMP
HNCVREF
AGP_AD0
AGP_AD1
AGP_AD2
AGP_AD3
AGP_AD4
AGP_AD5
AGP_AD6
AGP_AD7
AGP_AD8
AGP_AD9
AGP_AD10
AGP_AD11
AGP_AD12
AGP_AD13
AGP_AD14
AGP_AD15
AGP_AD16
AGP_AD17
AGP_AD18
AGP_AD19
AGP_AD20
AGP_AD21
AGP_AD22
AGP_AD23
AGP_AD24
AGP_AD25
AGP_AD26
AGP_AD27
AGP_AD28
AGP_AD29
AGP_AD30
AGP_AD31
AGP_SBA0
B20
B19
A19
A7
F9
B7
M6
M5
M4
L3
L6
L4
K6
L2
K3
J3
K4
J2
J6
J4
J1
H6
F4
F1
G6
E3
F5
E2
E4
E1
D3
D4
C2
F7
C3
E6
B2
D5
D6
A3
D7
C5
A5
C6
D8
C7
SBA7
SBA6
SBA5
SBA4
SBA3
SBA2
SBA1
SBA0
HVREF
U21
T21
P21
N21
J17
ST0
ST1
ST2
AD0/VBD7
AD1/VBD6
AD2/VBD5
AD3/VBD4
AD4/VBD3
AD5/VBD2
AD6/VBD1
AD7/VBD0
AD8/VAD6
AD9VAD5
AD10/VAD4
AD11/VAD7
AD12/VAD8
AD13/VAD9
AD14/VAD10
AD15/VAD11
AD16/VADE
AD17/VAVSYN
AD18/VAHSYNC
AD19/VBD11
AD20/VBD10
AD21/VBD8
AD22/VBD9
AD23/VAD1
AD24/VAD0
AD25/VAD2
AD26/VAD3
AD27/VBDE
AD28/VBCTL0
AD29/VBCTL1
AD30/VBHSYNC
AD31/VBVSYNC
PHYAVSS
PHYAVDD
HPCOMP
HNCOMP
HCOMPVREF
CPUAVSS
CPUAVDD
AH27
AJ27
HVREF0
HVREF1
HVREF2
HVREF3
HVREF4
H3
SiS651/646
AGP_SBSTB
AGP_SBSTB#
AD_STB0/VAGCLK
AD_STB0#/VAGCLK#
K1
L1
AGP_ADSTB0
AGP_ADSTB0#
AD_STB1/VBGCLK
AD_STB1#/VBGCLK#
C1
D1
AGP_ADSTB1
AGP_ADSTB1#
B10
AGP_CLK
AGPRCOMP
M1
AGPRCOMP
AGPAVDD1
AGPVSS1
B9
A9
AGPAVDD1
AGPAVSS1
AGPVDD2
AGPVSS2
B8
A8
AGPAVDD2
AGPAVSS2
AGPVERF
AGPVSSREF
M3
M2
AGP_VREF
DSTBN3#
DSTBN2#
DSTBN1#
DSTBN0#
F20
F23
K24
P24
DSTBN#3
DSTBN#2
DSTBN#1
DSTBN#0
DSTBP3#
DSTBP2#
DSTBP1#
DSTBP0#
F21
F24
L24
N25
DSTBP#3
DSTBP#2
DSTBP#1
DSTBP#0
AGPCLK
AGP_RBF# 10,15
AGP_WBF# 10,15
AGP_PIPE# 10,15
TP516
TP522
TP520
AGP_SBSTB 15
AGP_SBSTB# 15
AGP_ADSTB0 10,15
AGP_ADSTB0# 10,15
AGP_ADSTB1 10,15
AGP_ADSTB1# 10,15
AGP_CLK 13
B
Change net name AGPVREF to AGP_VREF
AC30. 4/11/2002 by Jim
connect to MAP17 pin
DSTBN#[0..3]
1
DSTBN#[0..3] 4
C138
0.01U
0603
DSTBP#[0..3]
DSTBP#[0..3] 4
AGPAVSS1
HD#[0..63]
+3VS
1
SIS650
BGA540_77_85
C146
0.01U
0603
AGPAVSS2
DBI#[0..3]
C139
10U
1206
10V
2
120Z/100M
2012
C145
0.1U
0603
50V
JL4
1
2
DBI#[0..3] 4
C154
10U
1206
10V
JP_NET20
1
HD#[0..63]
120Z/100M
2012
C137
0.1U
0603
50V
JL38
1
2
L35
AGPAVDD2
DBI#3
DBI#2
DBI#1
DBI#0
HD#63
HD#62
HD#61
HD#60
HD#59
HD#58
HD#57
HD#56
HD#55
HD#54
HD#53
HD#52
HD#51
HD#50
HD#49
HD#48
HD#47
HD#46
HD#45
HD#44
HD#43
HD#42
HD#41
HD#40
HD#39
HD#38
HD#37
HD#36
HD#35
HD#34
HD#33
HD#32
HD#31
HD#30
HD#29
HD#28
HD#27
HD#26
HD#25
HD#24
HD#23
HD#22
HD#21
HD#20
HD#19
HD#18
HD#17
HD#16
HD#15
HD#14
HD#13
HD#12
HD#11
HD#10
HD#9
HD#8
HD#7
HD#6
HD#5
HD#4
HD#3
HD#2
HD#1
HD#0
4
AGP_VREF
2
JP_NET20
1
2
2
2
R151
200/NA
0603
1%
2
R158
301
0603
1%
C105
0.01U
0603
+3VS
L34
AGPAVDD1
AGP_VREF 10
1
1
1
1
2
AGP_GNT# pull up?
AGP_PAR 10,15
1
1
1
B5
A4
HNCVREF
R91
75
0603D
1%
D10
B3
C4
SB_STB
SB_STB#
B21
F19
A21
E19
D22
D20
B22
C22
B23
A23
D21
F22
D24
D23
C24
B24
E25
E23
D25
A25
C26
B26
B27
D26
B28
E26
F28
G25
F27
F26
G24
H24
G29
J26
G26
J25
H26
G28
H28
J24
K28
J29
K27
J28
M24
L26
K26
L25
L28
M26
P26
L29
N24
N26
M27
N28
P27
N29
R24
R28
M28
P28
R26
R29
C110
0.01U
0603
APAR
RBF#/VBHCLK
WBF#/VGPIO2
PIPE#/VGPIO3
AGP8XDET
ADBIH
ADBIL
ADSTB1#
ADSTB0#
1
1
M650 2X AGP mode VREF set from
0.39*VDDQ to 4.41VDDQ.
R97
150
0603D
1%
H_REQ#4
H_REQ#3
H_REQ#2
H_REQ#1
H_REQ#0
HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3
Keep away from high speed signals
R694
150
0603D
1%
ADS#
HITM#
HIT#
DRDY#
DBSY#
BNR#
H_ADSTB#1
H_ADSTB#0
B
R695
75
0603D
1%
V28
T28
U28
W26
V24
V27
AGP_REQ# 10,15
Check
AGP_GNT# 10
AGP_FRAME# 10,15
AGP_IRDY# 10,15
AGP_TRDY# 10,15
AGP_DEVSEL# 10,15
AGP_SERR# 15
AGP_STOP# 10,15,16
1
+VCC_CORE
HA#[3..31]
H_ADS#
H_HITM#
H_HIT#
H_DRDY#
H_DBSY#
H_BNR#
AGP_REQ#
AGP_GNT#
AGP_FRAME#
AGP_IRDY#
AGP_TRDY#
AGP_DEVSEL#
AGP_SERR#
AGP_STOP#
2
4
RS2#
RS1#
RS0#
C9
A6
G2
G1
G3
G4
H5
H1
1
4
HA#[3..31] 4
JP_NET20
T24
T26
U29
AREQ#/VBCAD
AGNT#
AFRAME#
AIRDY#
ATRDY#
ADEVSEL#
ASERR#
ASTOP#
AGP_CBE#[0..3] 10
2
1
H_REQ#[0..4]
H_RS#2
H_RS#1
H_RS#0
AGP_CBE#3
AGP_CBE#2
AGP_CBE#1
AGP_CBE#0
2
PHYAVSS
4
C768
10U
1206
10V
H_ADS#
H_HITM#
H_HIT#
H_DRDY#
H_DBSY#
H_BNR#
HLOCK#
DEFER#
HTRDY#
CPURST#
CPUPWRGD
BPRI#
BREQ0#
F6
F3
H4
K5
2
2
C98
0.01U
0603
2
2
120Z/100M
2012
C99
0.1U
0603
50V
JL37
1
2
1
1
1
2
PHYAVDD
4
4
4
4
4
4
H_REQ#[0..4]
+3VS
L25
U24
U26
V26
C20
D19
T27
U25
AGP_CBE#[0..3]
AC/BE3#
AC/BE2#
AC/BE1#
AC/BE0#
DBI3#
DBI2#
DBI1#
DBI0#
H_RS#[0..2]
JP_NET20
H_LOCK#
H_DEFER#
H_TRDY#
CPURST#
CPUPWRGD
H_BPRI#
H_BR#0
PHYAVSS
PHYAVDD
1
4
H_LOCK#
H_DEFER#
H_TRDY#
CPURST#
CPUPWRGD
H_BPRI#
H_BR#0
CPUCLK
CPUCLK#
E21
A27
H27
R25
CPUAVSS
4
4
4
4
4
4
H_RS#[0..2]4
C100
10U
1206
10V
HCLK_SIS650 AJ26
HCLK_SIS650# AH26
AGP_AD[0..31] 10
A
HD63#
HD62#
HD61#
HD60#
HD59#
HD58#
HD57#
HD56#
HD55#
HD54#
HD53#
HD52#
HD51#
HD50#
HD49#
HD48#
HD47#
HD46#
HD45#
HD44#
HD43#
HD42#
HD41#
HD40#
HD39#
HD38#
HD37#
HD36#
HD35#
HD34#
HD33#
HD32#
HD31#
HD30#
HD29#
HD28#
HD27#
HD26#
HD25#
HD24#
HD23#
HD22#
HD21#
HD20#
HD19#
HD18#
HD17#
HD16#
HD15#
HD14#
HD13#
HD12#
HD11#
HD10#
HD9#
HD8#
HD7#
HD6#
HD5#
HD4#
HD3#
HD2#
HD1#
HD0#
2
C103
0.01U
0603
2
2
120Z/100M
2012
C104
0.1U
0603
50V
JL36
1
2
1
1
1
2
CPUAVDD
13 HCLK_SIS650
13 HCLK_SIS650#
CPUAVSS
CPUAVDD
U9A
+3VS
L27
AH25
AJ25
AGP_AD[0..31]
A
8
1
SIS M651/645DX(1/3)
7
2
4
1
3
1
2
2
1
1
C
+VCC_CORE
R92
HNCOMP
FOR M650
2
2
2
1
C
R154
200
0603
1%
C168
0.1U
0603
50V
20
1%
AGPRCOMP
1
60 OHM 1%
60.4
R93
1
2
1%
1
110
1%
0603D
+1.5VS
R156
HPCOMP
2
+3VS
R157
0603D
2
0603D
60.4
1%
0603D
112 OHM 1%
FOR 645DX
FOR SiS651 WITH SiS301LV ONLY
FC_VBD[0..11] 9
1
2
3
4
FC_VBD3
FC_VBD2
FC_VBD1
FC_VBD0
130OHM/100MHZ
FA3
AGP_AD26
8
AGP_AD25
7
AGP_AD23
6
AGP_AD24
5
130OHM/100MHZ
130OHM/100MHZ
FC_VAD3
FC_VAD2
FC_VAD1
FC_VAD0
1
2
3
4
1206
22P*4/NA
CP4
1206
22P*4/NA
CP3
4
3
2
1
4
3
2
1
1206
22P*4/NA
CP5
4
3
2
1
4
3
2
1
1206
22P*4/NA
CP6
FC_VAD7
FC_VAD6
FC_VAD5
FC_VAD4
1
2
3
4
1206
22P*4/NA
CP2
1206
22P*4/NA
CP1
AGP_AD18
R12
1
2 22
VAHSYNC
AGP_AD17
R17
1
2 22
VAVSYNC
AGP_AD30
R25
1
2 22
VBHSYNC
AGP_AD31
R26
1
2 22
VBVSYNC
AGP_SBA0
R136 1
2 22
VBCLK
AGP_ADSTB1 R539 1
2 22
VBGCLK
AGP_ADSTB1# R528 1
2 22/NA VBGCLK#
AGP_AD16 R532
1
2 0 0603
VADE
AGP_AD27 R543
1
2 0 0603
VBDE
AGP_AD28 R530
1
2 0 0603
VBCTL0
AGP_AD29 R541
1
2 0 0603
VBCTL1
AGP_REQ#R553
1
2 0 0603
VBCAD
AGP_RBF#R564
1
2 0 0603
VBHCLK
AGP_PIPE#R554
1
2 0/NA0603
AGP_WBF#R563
1
2 0/NA0603
VAHSYNC 9
AGP_ADSTB0 R525
1
2 22
VAGCLK
VAGCLK
9
1
FC_VAD[0..11] 9
VAVSYNC 9
VBHSYNC 9
2
AGP_AD4
AGP_AD5
AGP_AD6
AGP_AD7
130OHM/100MHZ
FA6
8
7
6
5
130OHM/100MHZ
FA2
AGP_AD11
8
AGP_AD8
7
AGP_AD9
6
AGP_AD10
5
5
6
7
8
FC_VBD7
FC_VBD6
FC_VBD5
FC_VBD4
4
3
2
1
1
2
3
4
5
6
7
8
130OHM/100MHZ
FA5
8
7
6
5
5
6
7
8
AGP_AD0
AGP_AD1
AGP_AD2
AGP_AD3
FC_VAD11
FC_VAD10
FC_VAD9
FC_VAD8
1
2
3
4
5
6
7
8
8
7
6
5
C541
10P/NA
0603
10%
VBVSYNC 9
VBCLK
9
VBGCLK
9
AGP_ADSTB0# R526
1
2 22/NA
VAGCLK#
VAGCLK# 9
1
FC_VAD[0..11]
FA1
AGP_AD15
AGP_AD14
AGP_AD13
AGP_AD12
4
3
2
1
FC_VBD11
FC_VBD10
FC_VBD9
FC_VBD8
5
6
7
8
1
2
3
4
5
6
7
8
D
8
7
6
5
VBGCLK# 9
VADE
9
VBDE
9
VBCTL0
9
VBCTL1
9
VBCAD
9
VBHCLK
9
2
FC_VBD[0..11]
FA4
AGP_AD19
AGP_AD20
AGP_AD22
AGP_AD21
C524
10P/NA
0603
10%
D
Title
SIS M650/645DX (1/3)
Size
Date:
1
2
3
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
4
5
6
7
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
6
8
of
32
1
2
3
4
5
6
7
8
SIS M650/645DX(2/3)
DDR_MD[0..63]
14 DDR_MD[0..63]
U9B
DDR_MD40
DDR_MD41
DDR_MD42
DDR_MD43
DDR_MD44
DDR_MD45
DDR_MD46
DDR_MD47
DDR_DQM5
DDR_DQS5
DDR_MD48
DDR_MD49
DDR_MD50
DDR_MD51
DDR_MD52
DDR_MD53
DDR_MD54
DDR_MD55
DDR_DQM6
DDR_DQS6
DDR_MD56
DDR_MD57
DDR_MD58
DDR_MD59
DDR_MD60
DDR_MD61
DDR_MD62
DDR_MD63
DDR_DQM7
DDR_DQS7
1
2
3
4
TP517
TP518
CKE0
CKE1
CKE2
CKE3
CKE4
CKE5
S3AUXSW#
AB2
AA4
AB1
Y6
AA5
Y5
Y4
CKE0
CKE1
CKE2
CKE3
SDCLK
AA3
SDRAMCLK
S3AUXSW#
R728
1
AD11
SDRCLKI
AE11
SDAVDD
Y1
SDAVDD
SDAVSS
Y2
SDAVSS
DDRAVDD
AA1
DDRAVDD
DDRAVSS
AA2
DDRAVSS
DDRVREFA
DDRVREFB
AJ19
AH2
DDRVREFA
DDRVREFB
DRAM_SEL
W3
P1
P3
ZSTB1
ZSTB1#
ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15
T4
R3
T5
T6
R2
R6
R1
R4
P4
N3
P5
P6
N1
N6
N2
N4
ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15
+2.5V_DDR
ZVREF
U3
ZVREF
VDDZCMP
ZCMP_N
ZCMP_P
VSSZCMP
V5
U4
U2
V6
VDDZCMP
ZCMP_N
ZCMP_P
VSSZCMP
RP8
470*4
1206
REFCLK0 13
Z1XAVDD
Z1XAVSS
W1
W2
Z1XAVDD
Z1XAVSS
Z4XAVDD
Z4XAVSS
V2
V1
Z4XAVDD
Z4XAVSS
CRT_RED
CRT_GREEN
CRT_BLUE
ROUT
GOUT
BOUT
A12
B13
A13
HSYNC
VSYNC
F13
E13
HSYNC
VSYNC
R713
R710
VGPIO0
VGPIO1
D13
D12
DDCK
DDDA
R712
R711
INTA#
B11
CSYNC
RSYNC
LSYNC
E12
A11
F12
PID1
RSYNC
PID2
VCOMP
VRSET
VVBWN
E14
D14
F14
VCOMP
VRSET
VVBWN
DACAVDD1
DACAVSS1
B12
C12
DACAVDD1
DACAVSS1
DACAVDD2
DACAVSS2
C13
C14
DACAVDD2
DACAVSS2
DCLKAVDD
DCLKAVSS
B15
A15
DCLKAVDD
DCLKAVSS
ECLKAVDD
ECLKAVSS
B14
A14
ECLKAVDD
ECLKAVSS
1
1
1
1
2
2
33
33
CRT_HSYNC
CRT_VSYNC
2
2
100
100
CRT_DDCK
CRT_DDDA
CRT_RED 11,12
CRT_GREEN 11,12
CRT_BLUE 11,12
CRT_HSYNC 11,12
CRT_VSYNC 11,12
CRT_DDCK 11,12
CRT_DDDA 11,12
PCI_INTA#
PCI_INTA# 9,10,15
B
SIS650
BGA540_77_85
SDRAMCLK 13
2 22
FWDSDCLKO
14
14
14
14
CKE0
14
CKE1
14
CKE2
14
CKE3
14
TP521
TP519
S3AUXSW# 16,24
1
1
ZSTB1
ZSTB1#
NC IN SiS645DX
DDR_RAS# 14
DDR_CAS# 14
DDR_WE# 14
DDR_CS0#
DDR_CS1#
DDR_CS2#
DDR_CS3#
8
7
6
5
ZSTB0
ZSTB0#
ZAD[0..15]
MA11 --> BANK SELECT 0
MA12 --> BANK SELECT 1
MA13 --> MEM_MA11
MA14 --> MEM_MA12
DDR_BA0 14
DDR_BA1 14
T3
T1
A
REFCLK0
C15
NOT STUFF IN SiS645DX
E11 DLLEN#
F10 ENTEST
1
1
ZSTB1
ZSTB1#
ZAD[0..15]
ZSTB0
ZSTB0#
VOSCI
FWDSDCLKO 13
9,10,15,18,19,22,23 PCIRST#
16,24
PWROK
16
AUXOK
C745
10P/NA
0603
TP16
TP515
TP15
PCIRST#
PWROK
AUXOK
PID0
TRAP0
1
1
1
DLLEN#
1
DDR_DQM4
DDR_DQS4
DDR_CS0#
DDR_CS1#
DDR_CS2#
DDR_CS3#
15
15
15
ZUREQ
ZDREQ
R748
4.7K
0603D
2
B
DDR_MD32
DDR_MD33
DDR_MD34
DDR_MD35
DDR_MD36
DDR_MD37
DDR_MD38
DDR_MD39
AE7
AF7
AH6
AJ5
AF8
AD7
ZSTB0
ZSTB0#
U6
U1
A10 TESTMODE2
F11 TESTMODE1
C11 TESTMODE0
DDR_DQM0
DDR_DQM1
DDR_DQM2
DDR_DQM3
DDR_DQM4
DDR_DQM5
DDR_DQM6
DDR_DQM7
CS0#
CS1#
CS2#
CS3#
CS4#
CS5#
15
15
ZCLK
ZUREQ
ZDREQ
D11 TRAP1
E10 TRAP0
DDR_DQM3
DDR_DQS3
AH8
AJ7
AH7
ZUREQ
ZDREQ
V3
Y3 PCIRST#
W 4 PW ROK
W 6 AUXOK
DDR_DQM[0..7]
14 DDR_DQM[0..7]
SRAS#
SCAS#
SWE#
DDR_RAS#
DDR_CAS#
DDR_WE#
MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA11
MA12
MA13
MA14
15
15
ZCLK0
1
DDR_DQM2
DDR_DQS2
DDR_MD24
DDR_MD25
DDR_MD26
DDR_MD27
DDR_MD28
DDR_MD29
DDR_MD30
DDR_MD31
DDR_MA0
DDR_MA1
DDR_MA2
DDR_MA3
DDR_MA4
DDR_MA5
DDR_MA6
DDR_MA7
DDR_MA8
DDR_MA9
DDR_MA10
DDR_BA0
DDR_BA1
DDR_MA11
DDR_MA12
ZCLK0
C782
0.1U
0603
50V
2
DDR_DQM1
DDR_DQS1
DDR_MD16
DDR_MD17
DDR_MD18
DDR_MD19
DDR_MD20
DDR_MD21
DDR_MD22
DDR_MD23
AH11
AF12
AH12
AG12
AD12
AH15
AF15
AH16
AE15
AD15
AF11
AG8
AJ11
AG16
AF16
13
DDR_MA[0..12] 14
1
DDR_MD8
DDR_MD9
DDR_MD10
DDR_MD11
DDR_MD12
DDR_MD13
DDR_MD14
DDR_MD15
U9C
DDR_MA[0..12]
2
DDR_DQM0
DDR_DQS0
MD0/SMD63
MD1/SMD30
MD2/SMD29
MD3/SMD59
MD4/SMD31
MD5/SMD62
MD6/SMD60
MD7/SMD28
DQM0/SMD61
DQS0/CSB0#
MD8/SMD27
MD9/SMD58
MD10/SMD55
MD11/SMD23
MD12/SMD26
MD13/SMD57
MD14/SMD56
MD15/SMD24
DQM1/SMD25
DQS1/CSB1#
MD16/SMD22
MD17/SMD53
MD18/SMD20
MD19SMD19
MD20/SMD54
MD21/SMD21
MD22/SMD51
MD23/SMD50
DQM2/SMD52
DQS2/CSB2#
MD24/SMD18
MD25/SMD17
MD26/SDQM7
MD27/SDQM6
MD28/SMD49
MD29/SMD48
MD30/SDQM3
MD31/SDQM2
DQM3/SMD16
DQS3/CSB3#
MD32/SDQM5
MD33/SDQM4
MD34/SMD47
MD35/SMD45
MD36/SDQM1
MD37/SDQM0
MD38/SMD46
MD39/SMD14
DQM4/SMD15
DQS4/CSB4#
MD40/SMD13
MD41/SMD43
MD42/SMD42
MD43/SMD10
MD44/SMD44
MD45/SMD12
MD46/SMD41
MD47/SMD9
DQM5/SMD11
DQS5/CSB5#
MD48/SMD40
MD49/SMD8
MD50/SMD37
MD51/SMD36
MD52/SMD39
MD53/SMD7
MD54/SMD6
MD55/SMD5
DQM6//SMD38
DQS6/CSB6#
MD56/SMD35
MD57/SMD34
MD58/SMD1
MD59/SMD0
MD60/SMD4
MD61/SMD3
MD62/SMD33
MD63/SMD32
DQM7/SMD2
DQS7/CSB7#
1
DDR_DQS[0..7]
14 DDR_DQS[0..7]
AJ23
AG22
AH21
AJ21
AD23
AE23
AF22
AF21
AD22
AH22
AD21
AG20
AE19
AF19
AE21
AD20
AD19
AH19
AF20
AH20
AF18
AG18
AH17
AD16
AD18
AD17
AF17
AJ17
AE17
AH18
AD14
AG14
AJ13
AE13
AJ15
AF14
AD13
AF13
AH13
AH14
AD10
AH10
AE9
AD8
AG10
AF10
AH9
AF9
AD9
AJ9
AH5
AG4
AE5
AH3
AG6
AF6
AF5
AF4
AH4
AJ3
AE4
AD6
AE2
AC5
AG2
AG1
AF3
AC6
AD4
AF2
AB6
AD3
AA6
AB3
AC4
AE1
AD2
AC1
AB4
AC2
2
A
DDR_MD0
DDR_MD1
DDR_MD2
DDR_MD3
DDR_MD4
DDR_MD5
DDR_MD6
DDR_MD7
C770
0.1U
0603
50V
DRAM_SEL
SIS650
BGA540_77_85
C
C
NOT STUFF IN SiS645DX
C755
VVBWN
2
1
JP_NET20
1
1
2
2
1
2
2
1
1
2
1
1
2
C787
0.1U
0603D
50V
2 0603
LCD_ID0
R740 1 0
2 0603
LCD_ID1
PID2
R736 1 0
2 0603
LCD_ID2
+1.25V_REF
DLLEN#
Enable PLL
1
C121
10U
1206
10V
1
1
JP_NET20
DCLKAVSS
120Z/100M
2012
C115
0.1U
0603
50V
JL12
1
2
1
1
Default
Embedded pull-low
(30〜50K Ohm)
0
DDR
Yes
1(DDR)
Disable Debug Mode
Disable VGA Int
function
Reserved for
Panel ID
Reserved for
Panel ID
Reserved for
Panel ID
RSYNC
Yes
0
Yes
LSYNC
R745
49.9
0603
1%
Enable Debug Mode
Enable VGA Int
function
Reserved for
Panel ID
Reserved for
Panel ID
Reserved for
Panel ID
For M650
1
D
2
C116
0.01U
0603
C775
0.01U
0603D
2
1
Disable PLL
TRAP0
For 645DX
CSYNC
2
2
SDR
DDRVREFB
2
1
1
LCD_ID2 11,12
DRAM_SEL
R750
49.9
0603
1%
C781
0.01U
0603D
2
R824
0/NA
0603
+3VS
L30
DCLKAVDD
LCD_ID1 11,12
NB Hardwre Trap Table
0
TRAP1
C198
10U
1206
10V
LCD_ID0 11,12
2
1
1
1
2
R754
150
0603D
1%
R742 1 0
PID1
+2.5V_DDR
JP_NET20
1
Z4XAVSS
120Z/100M
2012
C180
0.1U
0603
50V
JL11
1
2
R108
49.9
0603
1%
PID0
2
120Z/100M
2012
C122
0.1U
0603
50V
JL9
1
2
2
1
C186
0.01U
0603
2
C200
10U
1206
10V
1
1
120Z/100M
2012
C188
0.1U
0603
50V
JL10
1
2
1
ECLKAVSS
2
SDAVSS
2
2
C182
0.01U
0603
Z4XAVDD
2
1
1
1
1
2
SDAVDD
L38
2
L40
D
+3VS
2
+3VS
2
C123
0.01U
0603
2
JP_NET20
2
JP_NET20
+3VS
L32
1
NOT STUFF IN SiS645DX
C788
0.1U
0603D
50V
ZVREF
C113
0.01U
0603D
JP_NET20
ECLKAVDD
R755
150
0603D
1%
DDRVREFA
1%
0603D
1
2
1
DACAVSS1
C203
10U
1206
10V
R98
49.9
0603
1%
C111
0.01U
0603D
2
1
Z1XAVSS
1
2
2
C181
0.01U
0603
2
1
1
1
C201
10U
1206
10V
2
2
2
DDRAVSS
120Z/100M
2012
C183
0.1U
0603
50V
JL7
1
2
2
1
1
DACAVSS2
C189
0.01U
0603
C754
10U
1206
10V
JL6
2
120Z/100M
2012
C187
0.1U
0603
50V
JL8
1
2
R714
130
1
1
120Z/100M
2012
C756
1U
0603
2
Z1XAVDD
C757
0.1U
0603D
50V
R144
0/NA
0603
2
2
1
1
+3VS
L39
+1.8VS
L530
DACAVDD2
2
DACAVDD1
1
+1.25V_REF
+3VS
2
+1.8VS
50V
1
1
0.1U
2
DDRAVDD
VRSET
2
+2.5V_DDR
0603D
C705
10U
1206
10V
JP_NET20
L41
50V
C733
VCOMP
1
120Z/100M
2012
C779
0.1U
0603
50V
JL5
1
2
1
2 56
VSSZCMP
2
0.1U
2
R751
1
C776
0.01U
0603
2
ZCMP_P
2 56
2
R739
1
1
ZCMP_N
1
1
0603D
2
VDDZCMP
1
+1.8VS
L532
C119
10U
1206
10V
+3VS +3V
DLLEN#
DRAM_SEL
TRAP0
RSYNC
R118
R734
R746
R116
1
1
1
1
2
2
2
2
4.7K/NA
4.7K
4.7K/NA
4.7K
Title
SIS M650/M645DX (2/3)
Size
JP_NET20
Date:
1
2
3
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
4
5
6
7
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
7
8
of
32
1
2
3
4
5
6
7
8
SIS M650/645DX(3/3)
+3V
2
1
1
2
2
1
1
1
2
1
2
1
2
1
C762
0.1U
0603
50V
1
1
C714
0.1U
0603
50V
2
C683
10U
1206
10V
2
1
C716
10U
1206
10V
2
1
2
1
2
1
2
W 10
Y11
Y13
Y15
Y17
C746
0.1U
0603
50V
1
2
1
C688
1U
0603
2
1
C689
1U
0603
2
C109
1U
0603
2
1
1
2
C687
0.1U
0603
50V
1
C717
0.1U
0603
50V
2
1
2
1
2
C697
0.1U
0603
50V
C580
0.1U
0603
50V
B
1
C767
10U
1206
10V
2
1
C724
10U
1206
10V
2
1
C766
10U
1206
10V
2
1
C143
10U
1206
10V
2
2
1
+2.5V_DDR
C715
0.1U
0603
50V
1
C699
0.1U
0603
50V
2
1
C704
0.1U
0603
50V
2
1
C731
0.1U
0603
50V
2
1
C718
0.1U
0603
50V
2
2
1
+2.5V_DDR
C703
0.1U
0603
50V
1
2
1
C773
1U
0603
2
1
C749
1U
0603
2
C741
1U
0603
2
C732
1U
0603
1
+2.5V_DDR
1
C742
0.1U
0603
50V
1
C734
0.1U
0603
50V
2
1
C765
0.1U
0603
50V
2
1
C771
0.1U
0603
50V
2
1
C761
0.1U
0603
50V
2
C760
0.1U
0603
50V
2
1
VDDQ
C192
10U
1206
10V
C
1
C737
0.1U
0603
50V
2
C726
1U
0603
2
C101
10U
1206
10V
1
+3VS
1
C744
0.1U
0603
50V
+3VS
C752
0.1U
0603
50V
C723
0.1U
0603
50V
C763
0.1U
0603
50V
C747
0.1U
0603
50V
C727
1U
0603
2
1
2
C710
0.1U
0603
50V
1
+3V
SIS650
BGA540_77_85
+1.8VS
1
PVDDM_0
PVDDM_1
PVDDM_2
PVDDM_3
PVDDM_4
J14
J15
K15
K10
K12
K14
M10
P11
PVDDZ
OVDD_0
OVDD_1
OVDD_2
PVDD_0
PVDD_1
PVDD_2
PVDD_3
L12
L14
L15
L16
L18
M11
M19
N11
P19
R11
T19
U11
V19
W 11
W 13
W 15
W 17
C709
1U
0603
+VCC_CORE
2
VDDZ_0
VDDZ_1
VDDZ_2
VDDZ_3
VDDZ_4
VDDZ_5
VDDZ_6
VDDZ_7
VDDZ_8
VDDZ_9
VDDZ_10
IVDD_0
IVDD_1
IVDD_2
IVDD_3
IVDD_4
IVDD_5
IVDD_6
IVDD_7
IVDD_8
IVDD_9
IVDD_10
IVDD_11
IVDD_12
IVDD_13
IVDD_14
IVDD_15
IVDD_16
H21
H22
J16
J20
J21
J22
K16
K17
K18
K19
K20
K21
L20
M20
N20
P20
R20
R21
T20
U20
V20
W 20
Y20
Y21
AA20
AA21
AA22
AB21
AB22
N5
R5
U5
W5
P9
P10
R9
R10
T9
T10
T11
C108
1U
0603
2
+1.8VS
C106
10U
1206
10V
2
For 645DX Used (AGP 4X)
C720
1U
0603
1
C
C738
10U
1206
10V
+1.8V
2
2
R757
0
0805
VDDQ_0
VDDQ_1
VDDQ_2
VDDQ_3
VDDQ_4
VDDQ_5
VDDQ_6
VDDQ_7
VDDQ_8
VDDQ_9
VDDQ_10
VDDQ_11
VDDQ_12
VDDQ_13
VDDQ_14
VDDQ_15
VDDQ_16
VDDQ_17
C593
0.1U
0603
50V
+VCC_CORE
1
1
E5
E7
E9
G5
J5
L5
H8
H9
J8
J9
J10
J13
K9
K11
K13
L10
N9
N10
A20
A22
A24
A26
C19
C21
C23
C25
C27
E20
E22
E24
F25
H25
K25
M25
P25
T25
V25
Y25
AB25
AD25
E27
G27
J27
L27
N27
R27
U27
W27
AA27
AC27
AE27
D29
F29
H29
K29
M29
P29
T29
V29
Y29
AB29
AD29
AF29
AE24
AG25
B4
B6
C8
C10
D2
F2
H2
K2
P2
T2
V4
AD1
AF1
AC3
AE3
AG3
AG5
AG7
AG9
AG11
AG13
AG15
AG17
AG19
AG21
AG23
AJ4
AJ6
AJ8
AJ10
AJ12
AJ14
AJ16
AJ18
AJ20
AJ22
AJ24
AG27
2
+1.5VS
VDDQ
2
VSS_0
VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9
VSS_10
VSS_11
VSS_12
VSS_13
VSS_14
VSS_15
VSS_16
VSS_17
VSS_18
VSS_19
VSS_20
VSS_21
VSS_22
VSS_23
VSS_24
VSS_25
VSS_26
VSS_27
VSS_28
VSS_29
VSS_30
VSS_31
VSS_32
VSS_33
VSS_34
VSS_35
VSS_36
VSS_37
VSS_38
VSS_39
VSS_40
VSS_41
VSS_42
VSS_43
VSS_44
VSS_45
VSS_46
VSS_47
VSS_48
VSS_49
VSS_50
VSS_51
VSS_52
VSS_53
VSS_54
VSS_55
VSS_56
VSS_57
VSS_58
VSS_59
VSS_60
VSS_61
VSS_62
VSS_63
VSS_64
VSS_65
VSS_66
VSS_67
VSS_68
VSS_69
VSS_70
VSS_71
VSS_72
VSS_73
VSS_74
VSS_75
VSS_76
VSS_77
VSS_78
VSS_79
VSS_80
VSS_81
VSS_82
VSS_83
VSS_84
1
+3VS
SiS M650/645DX
U10
U9
2
R756
0
0805
1
VDDM_0
VDDM_1
VDDM_2
VDDM_3
VDDM_4
VDDM_5
VDDM_6
VDDM_7
VDDM_8
VDDM_9
VDDM_10
VDDM_11
VDDM_12
VDDM_13
VDDM_14
VDDM_15
VDDM_16
VDDM_17
VDDM_18
VDDM_19
VDDM_20
VDDM_21
VDDM_22
VDDM_23
VDDM_24
VDDM_25
VDDM_26
VDDM_27
VDDM_28
VDDM_29
VDDM_30
VDDM_31
VDDM_32
AUX1.8
AUX3.3
C694
10U
1206
10V
1
For M650 Used(AGP 2X)
AB5
AD5
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AE22
V10
V11
W18
Y9
Y10
Y12
Y14
Y16
Y18
Y19
AA8
AA9
AA10
AA13
AA14
AA15
AA16
AA17
AB8
AB9
AB13
AB17
C702
0.1U
0603
50V
+3V
2
B
M12 VSS_85
M13 VSS_86
M14 VSS_87
M15 VSS_88
M16 VSS_89
M17 VSS_90
M18 VSS_91
N12 VSS_92
N13 VSS_93
N14 VSS_94
N15 VSS_95
N16 VSS_96
N17 VSS_97
N18 VSS_98
P12 VSS_99
P13 VSS_100
P14 VSS_101
P15 VSS_102
P16 VSS_103
P17 VSS_104
P18 VSS_105
R12 VSS_106
R13 VSS_107
R14 VSS_108
R15 VSS_109
R16 VSS_110
R17 VSS_111
R18 VSS_112
T12 VSS_113
T13 VSS_114
T14 VSS_115
T15 VSS_116
T16 VSS_117
T17 VSS_118
T18 VSS_119
U12 VSS_120
U13 VSS_121
U14 VSS_122
U15 VSS_123
U16 VSS_124
U17 VSS_125
U18 VSS_126
V12 VSS_127
V13 VSS_128
V14 VSS_129
V15 VSS_130
V16 VSS_131
V17 VSS_132
V18 VSS_133
B25 VSS_134
C28 VSS_135
C29 VSS_136
D27 VSS_137
D28 VSS_138
E28 VSS_139
E29 VSS_140
AF23VSS_141
AF24VSS_142
AF25VSS_143
AG24VSS_144
AG26VSS_145
AH23VSS_146
AH24VSS_147
+2.5V_DDR
VTT_0
VTT_1
VTT_2
VTT_3
VTT_4
VTT_5
VTT_6
VTT_7
VTT_8
VTT_9
VTT_10
VTT_11
VTT_12
VTT_13
VTT_14
VTT_15
VTT_16
VTT_17
VTT_18
VTT_19
VTT_20
VTT_21
VTT_22
VTT_23
VTT_24
VTT_25
VTT_26
VTT_27
VTT_28
VTT_29
VTT_30
VTT_31
VTT_32
VTT_33
VTT_34
VTT_35
VTT_36
VTT_37
VTT_38
VTT_39
VTT_40
VTT_41
VTT_42
VTT_43
VTT_44
VTT_45
VTT_46
VTT_47
VTT_48
VTT_49
A16
A17
A18
B16
B17
B18
C16
C17
C18
D15
D16
D17
D18
E15
E16
E17
E18
F15
F16
F17
F18
L17 PVDDP_0
L19 PVDDP_1
N19 PVDDP_2
R19 PVDDP_3
U19 PVDDP_4
W 19 PVDDP_5
U9D
C690
0.1U
0603
50V
+VCC_CORE
+1.8V
+VCC_CORE
C713
0.1U
0603
50V
1
+3VS
2
+1.8VS
2
1
2
+VCC_CORE
C712
0.1U
0603
50V
A
+1.8VS
+VCC_CORE
2
A
1
1
C730
0.1U
0603
50V
C707
0.1U
0603
50V
2
C748
0.1U
0603
50V
2
1
1
C735
0.1U
0603
50V
2
2
D
2
1
+1.8VS
C708
0.1U
0603
50V
D
Title
8640
Size
Document
Custom
Number
Date:
1
2
3
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
4
5
6
7
Rev
01
<Doc>
Wednesday, August 28, 2002
Sheet
8
8
of
32
5
4
3
SiS302LV/CH7019
R607
14.318MHZ_TV
1
R600 1 357K/NA2 0603
R598 1 10K/NA 2 0603
R599 1 357K/NA2 0603
R597 1 10K/NA 2 0603
R608 1 357K/NA2 0603
R571 1 357K/NA2 0603
Spread Range Selection
C585
22P/NA
0603
5%
R596 1 0/NA
PCIRST#
R575
6
VBDE
1
R47
6
R542 1
VBCTL1
1 0
(Fin/10)*20.83 KHz
D
RP3
1
2
3
4
2 0
0603
8
7
6
5
2
75*4
1206
L518
TV_LUMA
1
1
C588
10U
1206
25V
TV_CRMA
TP5
C579
0.1U
0603
TV_CRMA 11,12
TV_COMP
TV_COMP 11,12
TVPLL_GND
2
DGND
R33
C44 1
0.1U
R46 1
2
A
2
1
2
1
2
1
1
1
TP4
100 R53
1 0603
2
LGND1
TX2OUT2- 11,12
TX2OUT2+ 11,12
L19
+LVDD0/1
C58
0.1U
0603
50V
2
120Z/100M
2012
1
1
C57
0.1U
0603
50V
2
TX2CLK- 11,12
TX2CLK+ 11,12
+3VS
1
C86
10U
1206
25V
B
L15
+3VS
1
1
C54
0.1U
0603
50V
JL17
2
JP_NET20
1
C76
10U
1206
25V
2
120Z/100M
2012
JL18
2
JP_NET20
C53
0.1U
0603
50V
+5VS
LPLLGND
PCI_INTA# 7,10,15
ENABKL
ENAVDD
PCIRST#
2 0
0603
1
1
1
2 0
1
1
1
R595
4.7K/NA
0603
ENPBLT 11,25
ENAVDD 11,12
PCIRST# 7,10,15,18,19,22,23
+5VS
R40
2
120Z/100M
2012
JL16
2
JP_NET20
C81
10U
1206
25V
1
2
1
2
C56
0.1U
0603
50V
2
R592
4.7K/NA
0603
DD2
R569
1 0/NA
2
0603
R567
1
2 33/NA
0603
GPIOC
DC2
R593
1 0/NA
2
0603
R594
1
2 33/NA
0603
GPIOD
C50
0.1U/NA
0603
50V
10K/NA
0603
C42
0.1U
50V
0603
+3VS
C43
0.1U
0603
50V
VGA
C96
10U
1206
25V
YUV TV
SCART
Normal
TV
GPIOA
0
0
1
1
GPIOB
0
1
0
1
2
R32
1
2 10K/NA
0603
R36
1
2 0
0603
1
C559
27P/NA
0603
5%
2
2
VBCAD
VBHCLK
1
VBCAD
VBHCLK
1
1
TX2OUT1- 11,12
TX2OUT1+ 11,12
C55
0.1U
0603
50V
C52
100P
0603
10%
2 50V
0603
2 0
0603
+3VS
L20
1
TX2OUT0- 11,12
TX2OUT0+ 11,12
0603
6
6
+LVDD0/1
LGND2
2
R565
4.7K/NA
0603
2
2
1
R42
1
1
1
1
R555
4.7K
0603
R578
2
0
0603D_DFS
1
2
2
120Z/100M
2012
2
L520
2 0/NA
0603
1
+LPLL_VDD
+LPLL_VDD
R49
1
2
2
TX2CLKTX2CLK+
VB_GPIO3
VB_GPIO2
C49
0.1U/NA
0603
50V
R69
+LVDD2
1
HPD
+VREF2
+3VS
1
TX2OUT2TX2OUT2+
AS
+3VS
2
TX2OUT1TX2OUT1+
14x20
LQFP
2 0
0603
1
11,12
11,12
2 0
0603
TX2OUT0TX2OUT0+
VADE
VADE
TXCLKTXCLK+
1
C31
0.1U
0603
TXOUT2- 11,12
TXOUT2+ 11,12
TXCLKTXCLK+
1
LGND
TXOUT1- 11,12
TXOUT1+ 11,12
TXOUT2TXOUT2+
2
120Z/100M
2012
JL15
1
2
JP_NET20
C82
10U
1206
25V
TXOUT0- 11,12
TXOUT0+ 11,12
+LVDD3
TXOUT1TXOUT1+
R74
C63
0.1U
0603
50V
2
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
1
1
2
2
C30
0.1U
0603
0603
C64
0.1U
0603
50V
2
6
C29
10U
1206
25V
GPIOA
GPIOB
GPIOC
GPIOD
DD2
DC2
JP_NET20
1
2
120Z/100M
2012
JL19
1
2
0603 1U
C87
2
1
+DVDD
1
2K
1
2
+3VS
2
TXOUT0TXOUT0+
DVDD0
DE2
FLD/STL2
AS
SPD
SPC
HIN
VIN
VREF2
SDD
SDC
DD1
DC1
DD2
DC2
V5V
HOUT
VOUT
HPD
HPINT*
GPIO[0]
GPIO[1]
GPIO[2]
GPIO[3]
ENAVDD
ENABKL
FC_VAD[0..11]
1
R81
1
2
FC_VAD6
FC_VAD7
FC_VAD8
FC_VAD9
FC_VAD10
FC_VAD11
L9
2
MOD_XOUT
VAGCLK#
VAGCLK
VAGCLK#
VAGCLK
6 FC_VAD[0..11]
6
VAHSYNC
6
VAVSYNC
B
2 0
0603
+3VS
L22
1
R533 1
+DVDD
R801
2
6.04K
2.4K CH7019
2.2U/NA
0805C
C
JP_NET20
2
2
FC_VAD0
FC_VAD1
FC_VAD2
FC_VAD3
FC_VAD4
FC_VAD5
CLOSE TO CH7017
DGND
C618
1
DAC_GND
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
NC1
1
2
3
120Z/100M
2012
JL14
2
C70
10U
1206
25V
0603D
ISET
DAC_VDD
VSWING
LGND5
LDC0*
LDC0
LVDD5
LDC1*
LDC1
LGND4
LDC2*
LDC2
LVDD4
LL1C*
LL1C
LGND3
LDC3*
LDC3
LVDD3
LVDD2
LDC4*
LDC4
LGND2
LDC5*
LDC5
LVDD1
LDC6*
LDC6
LGND1
LDC7*
LDC7
LVDD0
LL2C*
LL2C
LGND0
LPLL_GND
LPLLCAP
LPLL_VDD
SiS301LV/
Chrontel
CH7019
C65
0.1U
0603
50V
1
C556
0.1U/NA
0603
C66
0.1U
0603
50V
2
2 0/NA
0603
1
1
C557
0.1U/NA
0603
2
1
FC_VBD6
FC_VBD7
FC_VBD8
FC_VBD9
FC_VBD10
FC_VBD11
V1
H1
DGND3
D1[0]
D1[1]
D1[2]
D1[3]
D1[4]
D1[5]
XCLK1*
DGND2
XCLK1
D1[6]
D1[7]
D1[8]
D1[9]
D1[10]
D1[11]
DVDD3
DVDD2
D2[0]
D2[1]
D2[2]
D2[3]
D2[4]
D2[5]
XCLK2*
DGND1
XCLK2
D2[6]
D2[7]
D2[8]
D2[9]
D2[10]
D2[11]
DGND0
H2
V2
GND0
VIN
VOUT
2
1
2 0
0603
R552 1
2
FC_VBD0
FC_VBD1
FC_VBD2
FC_VBD3
FC_VBD4
FC_VBD5
VBGCLK#
VBGCLK
VBGCLK#
VBGCLK
+DVDD
6
6
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
2 0
0603
140 CH7019
U7
SIS302LV
PQFP128A_0.5MM
R614 150 1%
1
2
1
2
R540 1
+DVDD
2 0/NA
0603
1
+5VS
NC0
AME8800AEEV/NA
SOT25
1
R16
R15 1
FC_VBD[0..11]
6
VBCTL0
6 FC_VBD[0..11]
C554
10U/NA
1206
25V
0603
10K CH7019
VBVSYNC
VBHSYNC
VBVSYNC
VBHSYNC
6
6
2
0
4
1
6
6
1
2
2
10K/NA
0603
5
L17
R30
1
U507
0805
+3VS
+DAC_VDD
DVDD1
DE1
FLD/STL1
VREF1
VDDV
P-OUT
RESET*
GPIO[5]
GPIO[4]
TVPLL_VDD
TVPLL_VCC
XO
XI / FIN
TVPLL_GND
BCO/VSYNC
C/HSYNC
DAC_GND0
DACA[3]
DACB[3]
DACA[2[
DACB[2]
DACA[1]
DACB[1]
DACA[0]
DACB[0]
DAC_GND1
2
120Z/100M/NA
2012
C613
0.1U
0603
50V
+DAC_VDD
64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
R31
1
2 C37
0.1U/NA
0603
1
R612
75/NA
0603
2
2
1
L11
C
+VREF1
C39
0.1U
0603
50V
2
C41
10U
1206
25V
2
120Z/100M
2012
R616 1
0/NA
2
+VDDV
2
1
1
TVPLL_GND
1
JP_NET20
2
L13
1
1
2
C573
0.1U
0603
2
C568
10U
1206
25V
2
2 JL13
1
TV_LUMA 11,12
+TVPLL_VDD
120Z/100M
2012
1
2
1
1
C606
0.1U
0603
50V
(Fin/10)*20.83 KHz
20 MHz to 35 MHz
2
2 0603
R50
75/NA
0603
CLOSE TO CH7017
2
2
2 0
0603
VBDE
1
1
2
+3VS
C576
0.1U
0603
50V
(Fin/10)*20.83 KHz
20 MHz to 35 MHz
R605
1M/NA
0603
+DAC_VDD
R566 1
+TVPLL_VCC
120Z/100M
2012
(Fin/10)*20.83 KHz
10 MHz to 20 MHz
FS0 and SR0 HAVE INTERNAL PULL_UP 100K Ohm
8
7
6
5
VDD
SR0
MODOUT
SSON
P2010/NA
SO8
2 0
0603
VBCLK
L521
2
XIN
XOUT
FS0
VSS
2 0/NA
1
+DVDD
10 MHz to 20 MHz
1
VBCLK
1
2
3
4
R574
1M/NA
0603
R561 1
6
MOD_XOUT
Input Frequency Modulation Rate
2
7,10,15,18,19,22,23 PCIRST#
2 0603
U505
AS
VB_GPIO2
VB_GPIO3
VB_GPIO4
VB_GPIO5
D
FS0 SR0 Spreading Range
1
0 +/- 1.50%
1
1 +/- 2.50%
0
0 +/- 1.25%
0
1 +/- 2.00%
X501
2
14.318MHZ/NA
C575
22P/NA
0603
5%
2
R570 1 10K/NA 2 0603
1
1
R601 1 10K/NA 2 0603
MOD_XOUT
2
0
0603
1
R572 1 357K/NA2 0603
2
R573 1 10K/NA 2 0603
1
13 14.318MHZ_TV
1
1
CLOSE TO CH7017
+DVDD
+3VS
2
C572
27P/NA
0603
5%
+3VS
GPIOA
R35
R39
GPIOB
1
1
R589 1
R588 1
2 10K/NA
0603
2 4.7K/NA
0603
2 4.7K/NA
0603
2 4.7K/NA
0603
+3VS
+3VS
GPIOC
0
0
1
GPIOD
0
1
0
1
A
Normal
TV
YUV TV
Normal
PAL
525I
PAL-M
PAL-N
525P
750P
1
Normal
NTSC
1080I
Title
SIS 302LV
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
9
of
32
5
4
3
2
1
nVIDIA MAP17(1/2)
VDD_MEM2.5
Place under the GPU
2
AGP_BUSY#
AF10
N_AGP_STOP#AG10
AGP_VREF
6 AGP_VREF
FOR MAP17 ONLY
AC30
AGPBUSY#
AGPSTOP#
AGPVREF
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
B2
E2
H2
AC2
AF2
AJ2
F4
AE4
B5
E5
G5
J5
L5
Y5
AB5
AD5
AF5
AJ5
D6
F6
AE6
AG6
E7
G7
J7
L7
P7
U7
TESTMODE
AJ3
R56
1
2
10K
0603
MAP17
BGA548_144_1MM
MAP17 4X AGP mode VREF set
from 0.48*VDDQ to 0.52*VDDQ.
+3VS
+1.5VS
1
R590 10K
1
2
R1
1K
0603
1%
R51
1
10K
2
0603
AGP_BUSY#
0603
SUS_STAT#
2
AGP_VREF
SUS_STAT# 11
R529
2
2
10K
0603
C2
0.1U
0603
50V
R838
2
R2
1K
0603
1%
1
1
1
1
R839
2
MSPSEL0
1
10K
0603
A
MSPSEL1
1
10K/NA
0603
DEFAULT
MSPSEL2
1
2
PAMCFG2
2
PAMCFG3
FBDQS0
FBDQS1
FBDQS1
FBDQS4
FBDQS7
FBD33
FBD63
FBD8
FBD8
FBD1
FBAWE#
FBARAS#
FBACAS#
1
1
2
1
1
2
1
1
2
2
1
2
1
2
1
2
2
2
1
2
1
2
1
2
1
1
C599
0.022U
0603
25V
10%
1
1
2
C595
4700P
0603
C592
0.022U
0603
25V
10%
C584
4700P
0603
2
2
1
C536
4700P
0603
2
C543
4700P
0603
C528
0.022U
0603
25V
10%
C594
0.022U
0603
25V
10%
C583
0.022U
0603
25V
10%
VDD_MEM2.5
T29
T30
U28
U30
V26
V27
V28
V29
V30
V3
W26
W27
W28
W29
W30
Y28
Y30
C4
R29
R45
1
120/NA
2 0603
1
120/NA
2 0603
1
120/NA
2 0603
FBACLK1
R43
100/NA
0603
R48
-FBACLK1
VDD_MEM2.5
R19
FBACLK0
R24
100/NA
0603
F28
K28
AA26
E12
E3
R27
120/NA
2 0603
1
-FBACLK0
R29
D13
D3
J28
AA27
D28
C11
B12
C12
1
FBVREF
2
1K
0603
FBAA0
FBAA0
B10
A24
FBVREF
A18
FBVREF
FBCLK1#
FBCLK1
FBCLK0#
FBCLK0
A4
B4
C20
C21
-FBACLK1
FBACLK1
-FBACLK0
FBACLK0
C
MSPSEL1
MSPSEL3
1
MAP17
BGA548_144_1MM
R28
1K
0603
A
PAMCFG2 11
Title
nVIDIA MAP17 (1/2)
PAMCFG3 11
Size
10K/NA
0603
Date:
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
B
TP509
MAP17
BGA548_144_1MM
PAMCFG1 11
R845
2
10K
0603
5
AA18
K19
L19
M19
N19
P19
R19
T19
U19
V19
W19
Y19
AA19
B20
E20
G20
K20
L20
M20
N20
P20
R20
T20
U20
V20
W20
Y20
AA20
AD20
AF20
AJ20
K21
L21
M21
N21
P21
R21
T21
U21
V21
W21
Y21
AA21
E22
G22
AD22
AF22
B23
AJ23
E24
G24
J24
L24
P24
U24
Y24
AB24
AD24
AF24
D25
F25
AE25
AG25
B26
E26
G26
J26
L26
P26
U26
Y26
AB26
AD26
AF26
AJ26
F27
AE27
B29
E29
H29
L29
P29
U29
Y29
AC29
AF29
AJ29
K11
L11
M11
N11
P11
R11
T11
U11
V11
W11
AH12
AH131
G11
10K/NA
0603
R844
1
PAMCFG1
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
C547
0.1U
0603
50V
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
R843
2
10K
0603
0111: 2Mx32 DDR SDRAM, DQS per byte, dll-on, low drive strength
1101: 4Mx32 DDR SDRAM, DQS per byte, dll-on, low drive strength
1111: 4Mx32 DDR SDRAM, DQS per byte, dll-on, high drive strength
2
Y7
AB7
AD7
AF7
B8
E9
G9
AD9
AF9
K10
L10
M10
N10
P10
R10
T10
U10
V10
W10
Y10
AA10
B11
E11
C531
4.7U
0805
+80-20%
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
10K
0603
R842
RAM_CFG[3:0]
PAMCFG0 11
R841
2
1
PAMCFG0
10K/NA
0603
R840
1
2
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
VDD_MEM2.5
1
Place on solder - south
C546
0.022U
0603
25V
10%
2
TP502
TP1
C538
0.022U
0603
25V
10%
1
AGP_DBI_LO
AGP_MB_DET#
MSPSEL0
C68
4700P
0603
C516
0.022U
0603
25V
10%
C598
4700P
0603
2
TP505
TP507
1
1
C67
0.022U
0603
25V
10%
C607
470P
0603
10%
C522
4700P
0603
1
1
1
AH19
AK13
C603
0.022U
0603
25V
10%
C518
0.022U
0603
25V
10%
1
1
2
1
2
1
2
+3VS
C614
4700P
0603
G6
J6
AB6
AD6
F7
AE7
F9
AE9
F22
AE22
F24
AE24
G25
J25
AB25
AD25
C526
4700P
0603
2
E1
H1
AC1
AF1
L4
Y4
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
VDDFBC
2
2
2
1
2
2
1
2
1
C605
0.1U
0603
50V
1
1
1
1
2
1
1
2
1
2
1
2
1
2
C898
10U
1206
10V
2
1
2
1
2
1
2
1
2
1
2
1
2
1
2
C897
10U
1206
10V
C537
4700P
0603
TP501
C521
470P
0603
10%
1
AGPSBSTB
AGPSBSTB#
C896
10U
1206
10V
TP508
C517
470P
0603
10%
Y11
AA11
AD11
AF11
AJ11
K12
L12
M12
N12
P12
R12
T12
U12
V12
W12
Y12
AA12
K13
L13
M13
N13
P13
R13
T13
U13
V13
W13
Y13
AA13
B14
E14
G14
K14
L14
M14
N14
P14
R14
T14
U14
V14
W14
Y14
AA14
AD14
AF14
AJ14
K15
L15
M15
N15
P15
R15
T15
U15
V15
W15
Y15
AA15
K16
L16
M16
N16
P16
R16
T16
U16
V16
W16
Y16
AA16
B17
E17
G17
K17
L17
M17
N17
P17
R17
T17
U17
V17
W17
Y17
AA17
TP504 1AD17
AF17
AJ17
K18
L18
M18
N18
P18
R18
T18
U18
V18
W18
Y18
C542
0.1U
0603
50V
2
10K
0603
C532
0.022U
0603
25V
10%
TP7
C515
4.7U
0805
+80-20%
1
AH16
AH17
R591
MSPSEL2
U6C
VDDFBIO
C525
4.7U
0805
+80-20%
2
+3VS
B
C570
4700P
0603
+5VS
AH15
AH14
AGPADSTB1
AGPADSTB1#
AGPADSTB0
AGPADSTB0#
AGPSBA0
AGPSBA1
AGPSBA2
AGPSBA3
AGPSBA4
AGPSBA5
AGPSBA6
AGPSBA7
C577
4700P
0603
Place close to the BGA
AGP_CAL_PD
AGP_CAL_PU
PCIINTA#
AGPRBF#
AGPWBF#
AGPPIPE#
AGPST0
AGPST1
AGPST2
AJ15
AF15
AK15
AG16
AK16
AF16
AJ16
AH18
MSPSEL3
Place on solder - north
VDD33
VDD33
VDD33
VDD33
VDD33
VDD33
1
2
R20
220K
0603
1
2
R14
220K
0603
C571
0.022U
0603
25V
10%
C553
2200P
0603
E4
G4
J4
AB4 1
AD4
AF4
D5
F5
AE5
AG5
E6
AF6
D7
AG7
D9
AG9 1
D22
AG221
D24
AG24
E25
AF25
D26
F26
AE26
AG26
E27
G27
J27
AB27
AD27
AF27
1
AK11
AJ13
AG15
AF18
AF12
AF13
AG13
AGP_ADSTB1 AK21
AGP_ADSTB1# AJ21
AGP_ADSTB0 AK28
AGP_ADSTB0# AJ28
AGP_ADSTB1
AGP_ADSTB1#
AGP_ADSTB0
AGP_ADSTB0#
1
6,15
6,15
6,15
6,15
PCI_INTA#
AGP_RBF#
AGP_WBF#
AGP_PIPE#
AGP_ST0
AGP_ST1
AGP_ST2
PCI_INTA#
AGP_RBF#
AGP_WBF#
AGP_PIPE#
C578
4700P
0603
C558
0.022U
0603
25V
10%
C534
2200P
0603
D
2
7,9,15
6,15
6,15
6,15
6 AGP_ST0
6 AGP_ST1
6 AGP_ST2
PCIFRAME#
PCIIRDY#
PCITRDY#
PCIDEVSEL#
PCISTOP#
PCIPAR
C533
4700P
0603
C535
2200P
0603
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
VDDFBIO
1
AGP_FRAME# AH24
AGP_IRDY#
AJ25
AGP_TRDY# AH25
AGP_DEVSEL# AK27
AGP_STOP# AH26
AGP_PAR
AH27
C591
470P
0603
10%
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
2
6,15 AGP_FRAME#
6,15 AGP_IRDY#
6,15 AGP_TRDY#
6,15 AGP_DEVSEL#
6,15,16 AGP_STOP#
6,15 AGP_PAR
C590
470P
0603
10%
A1
A10
A11
A12
A13
A14
A15
A16
A17
A19
A2
A20
A21
A22
A23
A25
A26
A27
A28
A29
A3
A30
AA28
AA29
AA30
AA4
AA5
AB28
AB29
AB30
B1
B13
B15
B16
B18
B19
B21
B22
B24
B25
B27
B28
B3
B30
C1
C10
C13
C14
C15
C16
C17
C18
C19
C2
C22
C23
C24
C25
C26
C27
C28
C29
C3
C30
D1
D10
D12
D15
D16
D18
D19
D2
D21
D27
D29
D30
E10
E13
E15
E16
E18
E19
E21
E28
E30
F29
F30
G28
G29
G30
H28
H30
J2
J29
J30
K26
K27
K29
K30
L28
L30
M26
M27
M28
M29
M30
N26
N27
N28
N29
N30
P28
P30
R26
R27
R28
R30
T26
T27
T28
1
PCIGNT#
PCIREQ#
C589
470P
0603
10%
1
AGP_GNT# AG12
AGP_REQ# AK12
+1.2VS
2
AGP_GNT#
AGP_REQ#
6
6,15
C552
0.022U
0603
25V
10%
Place on solder side under the BGA
1
PCICLK
PCIRST#
D4
AG11
C23
0.022U
0603
25V
10%
2
AJ12
AH11
VD50CLAMP
VD50CLAMP
C529
0.022U
0603
25V
10%
2
66M_AGP
PCIRST#
13
66M_AGP
7,9,15,18,19,22,23 PCIRST#
L6
P6
U6
Y6
D11
F11
AE11
D14
F14
AE14
D17
F17
AE17
D20
F20
AE20
L25
P25
U25
Y25
L27
P27
U27
Y27
C28
0.022U
0603
25V
10%
1
PCICBE#0
PCICBE#1
PCICBE#2
PCICBE#3
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
+1.5VS
2
AH28
AJ27
AK25
AF21
AG14
AK14
AG17
AK17
AG20
AK20
AK23
AK26
AK29
AF30
1
AGP_CBE#0
AGP_CBE#1
AGP_CBE#2
AGP_CBE#3
C
VDDAGP
VDDAGP
VDDAGP
VDDAGP
VDDAGP
VDDAGP
VDDAGP
VDDAGP
VDDAGP
VDDAGP
2
AGP_CBE#[0..3]
6 AGP_CBE#[0..3]
PCIAD0
PCIAD1
PCIAD2
PCIAD3
PCIAD4
PCIAD5
PCIAD6
PCIAD7
PCIAD8
PCIAD9
PCIAD10
PCIAD11
PCIAD12
PCIAD13
PCIAD14
PCIAD15
PCIAD16
PCIAD17
PCIAD18
PCIAD19
PCIAD20
PCIAD21
PCIAD22
PCIAD23
PCIAD24
PCIAD25
PCIAD26
PCIAD27
PCIAD28
PCIAD29
PCIAD30
PCIAD31
2
U6A
AGP_AD0 AD30
AGP_AD1 AE30
AGP_AD2 AD29
AGP_AD3 AE29
AGP_AD4 AD28
AGP_AD5 AG30
AGP_AD6 AF28
AGP_AD7 AG29
AGP_AD8 AH30
AGP_AD9 AC28
AGP_AD10 AH29
AGP_AD11 AE28
AGP_AD12 AJ30
AGP_AD13 AG28
AGP_AD14 AK30
AGP_AD15 AG27
AGP_AD16 AH23
AGP_AD17 AJ24
AGP_AD18 AH22
AGP_AD19 AK24
AGP_AD20 AH21
AGP_AD21 AJ22
AGP_AD22 AH20
AGP_AD23 AK22
AGP_AD24 AG21
AGP_AD25 AJ19
AGP_AD26 AG18
AGP_AD27 AK19
AGP_AD28 AG19
AGP_AD29 AJ18
AGP_AD30 AF19
AGP_AD31 AK18
1
6 AGP_AD[0..31]
U6B
2
AGP_AD[0..31]
Place under the GPU
2
D
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
10
of
32
3
U6E
SUS_STAT# R54
2
DACVDD
AK10
AK9
AJ8
DACVDD
DDCSCL/I2C1SCL
DDCSDA/I2C1SDA
DACVREF
DACRSET
1
1
1
C34
0.01U
0603
R587
113
0603
1%
GPIOD4
GPIOD5
GPIOD6
GPIOD7
1
2
C59
470P
0603
10%
0.047U
0603 C83
1
T1
IFP0IOBVDD
2 IFP0VREF
CRT_DDCK 7,12
CRT_DDDA 7,12
CRTHSYNC
AH10
CRT_HSYNC
1
CRTVSYNC
AH9
CRT_VSYNC
CRT_HSYNC 7,12
CRT_VSYNC 7,12
TXD1#
TXD1
R4
R5
TXOUT1TXOUT1+
TXD2#
TXD2
P3
R3
TXOUT2TXOUT2+
P1
P2
C89
4.7U
0805
+80-20%
IFP0PLLVDD
2
120Z/100M
1608
C85
4.7U
0805
+80-20%
C78
4700P
0603
DACGND
AK5
PLLVDD
DACRED
CRT_RED
AJ9
IFP0VREF
IFP0RSET
TXC0
TXC0#
K2
K1
TXCLK+
TXCLK-
U2
T2
IFP0IOBGND
IFP0IOAGND
TXD4#
TXD4
U4
U5
TX2OUT0TX2OUT0+
TXD5#
TXD5
T3
U3
TX2OUT1TX2OUT1+
TXD6#
TXD6
V4
V5
TX2OUT2TX2OUT2+
TXD7#
TXD7
W4
W5
TXC1
TXC1#
T5
T4
TXD8#
TXD8
M3
N3
TXD9#
TXD9
M4
M5
TXD10#
TXD10
N4
N5
TXC2
TXC2#
L3
K3
DAC2RED
Y2
TV_CRMA
AA2
TV_LUMA
W3
TV_COMP
V1
IFP0PLLVDD
C60
470P
0603
10%
1
PAMCFG0
PAMCFG1
PAMCFG2
PAMCFG3
R78
10K
0603
DVOD0
DVOD1
DVOD2
DVOD3
DVOD4
DVOD5
DVOD6
DVOD7
DVOD8
DVOD9
DVOD10
DVOD11
DACGREEN
IFP1IOVDD
L2
IFP1VREF
L1
IFP1RSET
CRT_GREEN 7,12
N1
DACBLUE
2
CRT_GREEN
AJ10
M2
DVOVREF
AB1
DVOVREF
PCI_DEVID3
AC3
AB3
DVOVSYNC
DVOHSYNC
AK1
DVODE
CRT_BLUE
AH8
CRT_BLUE 7,12
TX2OUT1- 9,12
TX2OUT1+ 9,12
TX2OUT2- 9,12
TX2OUT2+ 9,12
TX2CLK+
TX2CLK-
TX2CLK+ 9,12
TX2CLK- 9,12
1
AJ7
TV_LUMA 9,12
TV_COMP 9,12
IFP1PLLVDD
2
DAC2VDD
XTALIN
Keep close to the nVidia
+3VS
1
1
C79
4700P
0603
C61
470P
0603
10%
R84 1
C69
0.01U
0603
AA1
DAC2VREF
Y1
2 DAC2RSET W2
63.4
0603
1%
A3V
W1
DAC2VDD
ENAVDD
DAC2VREF
DAC2RSET
CRT2HSYNC
AA3
CRT2VSYNC
Y3
2
2
R38
GPIO0
1
DAC2GND
3
2
4
27MHZ
2
2
C40
18P
0603
25V
10%
1
1
0/NA
0603
MAP17
BGA548_144_1MM
GPIO5
1
C38
18P
0603
25V
10%
LOGIC 0
+3VS
PCI_AD
1
0
10.0%
1
1
R538
I2C2SDA
1
4
5
10
2
R537
0
0603
1
0 Enable
1 Disable
X
0
0
1
0
1 1x Fin
GPIO0
GPIO5
14
11
17
16
S2
S3
R0
R1
1
2
Power down mode :
0
1
1
Hi-z
0
0
0
0
0
0
0
1
0
1
C555
18P/NA
25V
0603D
10%
1
0: REVERSED
1: NORMAL
SUB_VENDOR
0: system BIOS
1: adapter BIOS DEFAULT
DEFAULT
Check!
B
1K
0603
3
12
C548
0.001U
0603
20%
CRYSTAL[1:0]
[VIPD6:DVOD6]
CRYSTAL1
R63
1
2 10K 0603
LSB
R630
1
2 10K/NA 0603
TVMODE0
R631
1
2 10K 0603
MSB
R632
1
2 10K 0603
TVMODE1
R633
1
2 10K/NA 0603
R629
1
2 10K 0603
AGP_4X
R70
1
2 10K 0603
AGP_FASTWR R82
1
2 10K/NA 0603
R65
1
2 10K 0603
PCI_DEVID0
R64
1
2 10K/NA 0603
R66
1
2 10K/NA 0603
PCI_DEVID1
R67
1
2 10K
0603
R584
1
2 10K/NA 0603
PCI_DEVID2
R583
1
2 10K
0603
R628
1
2 10K 0603
PCI_DEVID3
R627
1
2 10K/NA 0603
BUS_TYPE
R61
1
2 10K
TVMODE[1:0]
C527
0.01U
0603
00: SECAM
01: NTSC
10: PAL
11: VGA
DEFAULT
DEFAULT
AGP4x
0: enabled
1:
disabled
DEFAULT
AGP_FW
0: enabled
1:
disabled
DEFAULT
PCI_DEVID[3:0]
0110: NV17-MAP DEFAULT
7
22
FOUT
15
R580 1
REFout
20
R568 1
2
0603 XTALSSIN
2
0603 REFOUT
22/NA
LSB
Place these resistors
close to U1
OSCin
OSCout
00: 13.5MHz
01: 14.318 MHz
10: 27 MHz
11: unknown
OVDD
OVSS
19
18
AVSS
DVSS
8
13
C587
0.1U
0603
50V
MSB
0603
A
BUS_TYPE
0: PCI
1:
AGP
DEFAULT
ALT CLK GEN W245-30 CAN I2C CONTROL
1
0
XTALSOUTBUFF
X502
3
2
4
27MHZ/NA
2
Factory Test
1
1
2
0
2
SM530
TSSOP20
SO S1 S2 S3 Fout
0
AVDD
DVDD
LF
S0
S1
Power down mode
0
R531 1
0603
D_C
STOP
SSON
6
9
SUS_STAT#
R0 R1 S0 S1 Fout
0
R534 0/NA
2
1
U503
0/NA
0603
X
1
1
I2C2SCL
STOP Fout
A
CRYSTAL0
PCI_AD_SWAP
2
5.00%
2 10K 0603
2
(GPIO5)
2
1
1
0
0
1
MSB
2
0
SUB_VENDOR
2 10K 0603
C586
0.1U
0603
50V
LSB
(GPIO0)
R613
1
2 10K 0603
1
1
2
2
C545
4.7U
0805
+80-20%
Modulation seletion mode
2.50%
R55
R57
2
10
0603
1
1
1.25%
LOGIC 1
R579
+3VS
S3
2
10K
0603
B
S2
2
10K
0603
R582
X1
2
1
1
2
10K
0603
R581
120Z/100M
2012
REFOUT
1
L14
1
MAP17
BGA548_144_1MM
2
10K
0603
R34
2
XTALOUT
AK7
XTALSOUTBUFF
C80
4.7U
0805
+80-20%
2
AH6
1
2
120Z/100M
1608
2
1
1
A3V
C601
4700P
0603
XTALSOUTBUFF
1
IFP1PLLGND
L18
AF3
AG4
2
DVOCLKOUT#
XTALSSIN
DAC2BLUE
TV_CRMA 9,12
R37
N2
1
VDDDVO
VDDDVO
DVOCLKOUT
AD1
DVOCLKIN
DAC2GREEN
ENPBLT
AD2
XTALSSIN AH7
IFP1IOGND
C
+3VS
DVOCLKIN AB2
R617
10K
0603
Spread
LVDS CH2
R79
10K
0603
2
1
2
C62
0.047U
0603
2
10K
1%
TX2OUT0- 9,12
TX2OUT0+ 9,12
1
10
10
10
10
AK2
AK3
AH3
AJ1
AG1
AG2
AD3
AE1
AE3
AE2
AG3
AH1
1
R72
M1
2
PCI_AD
SUB_VENDOR
PAMCFG0
PAMCFG1
PAMCFG2
PAMCFG3
CRYSTAL0
TVMODE0
TVMODE1
AGP_4X
ROMTYPE0
BUS_TYPE
10K
1%
C
D
9,12
9,12
1
C46
470P
0603
10%
2
C45
470P
0603
10%
A3V
R77
TXCLK+
TXCLK-
LVDS CH1
IFP0PLLGND
CRT_RED 7,12
1
1
C47
4.7U
0805
+80-20%
2
1
120Z/100M
1608
2
1
2
C48
4.7U
0805
+80-20%
PLLVDD
2
TXOUT2- 9,12
TXOUT2+ 9,12
IFP0IOBVDD
L12
1
TXOUT1- 9,12
TXOUT1+ 9,12
R2
R1
V2
AK8
TXOUT0- 9,12
TXOUT0+ 9,12
TXD3#
TXD3
L21
R0A-->R01
2 0603
2 0603
TXOUT0TXOUT0+
2 1K IFP0RSET
0603 1%
+2.8VS
R649 1 2.2K
R701 1 2.2K
U1
R68
R0A-->R01
I2C2SDA
I2C2SCL
P4
P5
IFP0IOAVDD
+5VS
R900 2.2K 0603
1
2
1
2
R901 2.2K 0603
CRT_DDCK
CRT_DDDA
AJ6
AK6
TXD0#
TXD0
2
2
C35
470P
0603
10%
2
C36
4700P
0603
2
C33
4.7U
0805
+80-20%
2
Place
close
together
1
DACVREF
DACRSET
1
120Z/100M
1608
AH5
AH4
BUFRST#
1 C9
B9
C5
1 F3
I2C2SDA
I2C2SCL
1
1
Close to GPU
AJ4
AK4
TP2
IFP0IOAVDD
1
2
A9
L10
I2C0SDA
I2C0SCL
C77
4700P
0603
1
A3V
ROMA14
ROMA15
ROMCS#
C84
4.7U
0805
+80-20%
2
J1
F2
AH2
2
VIPHAD0
VIPHAD1
2
C8
C7
GPIOD0
GPIOD1
GPIOD2
GPIOD3
2 0 0603
1
Close to GPU
2
120Z/100M
1608
1
LCD_ID2
LCD_ID3
7,12 LCD_ID2
12 LCD_ID3
LCD_ID0 7,12
LCD_ID1 7,12
2
D
VIPD0
VIPD1
VIPD2
VIPD3
VIPD4
VIPD5
VIPD6
VIPD7
C6
A6
A7
A8
2 0 0603
1
L16
1
VIPPCLK
VIPHCLK
VIPHCTL
1
TP6
U6D
LCD_ID0
LCD_ID1
ROMTYPE1
PCI_DEVID2
PCI_DEVID0
PCI_DEVID1
CRYSTAL1
AGP_FASTWR
TP3
GPIO5
A3V
K4
J3
H3
K5
G2
G1
F1
G3
ENPBLT
ENAVDD
9,25 ENPBLT
9,12 ENAVDD
10 SUS_STAT#
B7
A5
B6
GPIO0
R41
1
Check!
1
+5VS
2
nVIDIA MAP17(2/2)
2
GPIO0:General purpose I/O
GPIO1:General purpose I/O
GPIO2:Provid backlight enable.
GPIO3:Provid panel power enable.
GPIO4:Panel power good signal to GPU.
GPIO5:Provid spread spectrum support.
GPIO6:Provid hardware suspend signal for
mobile system.Active low.
GPIO7:Dynamic core voltage power control.
( 0:VDD=1.1V, 1:VDD=1.5V)
1
4
1
5
C551
18P/NA
25V
10%
0603D
LSB
R636
1
2 10K
0603
ROMTYPE0
R637
1
2 10K/NA 0603
R62
1
2 10K
0603
ROMTYPE1
R83
1
2 10K/NA 0603
MSB
ROMTYPE[1:0] 00: parallel
DEFAULT
01: serial AT25F
10: serial SST45V
11: serial future
Title
nVIDIA MAP17 (2/2)
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
11
of
32
4
3
2
D1
GND_TV
1
2
1
D504
2
1
LCD & CRT INTERFACE
D2
GND_TV
C17
GND_TV
33P
1
3
L7
1
S-VIDEO
R0A-->R01
2
1
2
3
4
5
6
7
TV_COMP 9,11
C503
270P
0603
10%
C4
270P
0603
10%
TV_LUMA
2
120Z/100M 1608
33P 0603 50V
1
2
C5
270P
0603
10%
TV_LUMA 9,11
4
3
2
1
L8
C15
TV_CRMA 9,11
C519
100P
0603
10%
C22
100P
0603
10%
C20
100P
0603
10%
RP505
75*4
1206
L501
1
5
6
7
8
MINI-DIN/7P
C10828-107XX
C664
0.1U
0603
50V
D
TV_CRMA
1
1
GND1
GND2
GND3
GND1
GND2
GND3
2
2
BATT_R# 25
BATT_G# 25
1
C513 33P 0603 50V
L510 120Z/100M 1608
1
2
1
1
2
3
4
5
6
7
SCROLL# 24
NUM#
24
CAP#
24
MPM_LED# 24
AC_POWER# 25
2
14
SCROLL#
NUM#
CAP#
MPM_LED#
AC_POWER#
BATT_POWER#
BATT_R#
BATT_G#
1
VCC
74VHC164
TSSOP14
0603
0603
0603
0603
0603
0603
0603
0603
TV_COMP
2
GND
2
2
2
2
2
2
2
2
1
7
0
0
0
0
0
0
0
0
2
CLR
1
1
1
1
1
1
1
1
1
9
R902
R903
R904
R905
R906
R907
R908
R909
2
H8_RESET#
24 H8_RESET#
CLK
3
4
5
6
10
11
12
13
1
8
QA
QB
QC
QD
QE
QF
QG
QH
2
LED_CLK
24 LED_CLK
A
B
1
1
2
74VHC164
LED_DATA
120Z/100M 1608
2
J3
+5VA
U511
24 LED_DATA
0603 50V
2
BAV99/NA
3
BAV99/NA
3
BAV99/NA
D
1
+3VS
2
5
2
JP_BEAD_DFS
GND
GND_TV
GND
LCD 14" 330mA,15"800mA
Check!
Q3 NDS9410 SO8
Need to check the current capacity of signal wire ??
TXOUT2+
TXOUT2-
9,11 TXOUT2+
9,11 TXOUT2LCD_ID0
LCD_ID1
LCD_ID2
LCD_ID3
4
3
2
1
7,11
7,11
7,11
11
LCD_ID0
LCD_ID1
LCD_ID2
RP2
10K*4
1206
+3VS
F1 mircoSMDC110
1
3
2
1
Close to LCD Connector
TXOUT0+
TXOUT0-
2
+3VS
CLOSE TO NDS 9410
1
2
R18
Q4
R1
1
C21
0.1U
0603
50V
2
1
+12VS
4
C24
0.1U
50V
0603
TXOUT1+ 9,11
TXOUT1- 9,11
8
7
6
5
8
7
6
5
2
2
C18
10U
1206
10V
1
1
1
1
C16
1000P
0603
TXOUT0+ 9,11
TXOUT0- 9,11
TXOUT1+
TXOUT11
2
3
4
C3
0.1U
0603
50V
2
TX2OUT1+ 9,11
TX2OUT1- 9,11
C1
1000P
0603
2
TX2OUT1+
TX2OUT1-
9,11
9,11
1
TXCLK+
TXCLK-
2
TXCLK+
TXCLK-
3
C
2
C25
10U_NA
1206
10V
470K
0603
C
2
DTC144TKA
1
TX2OUT2+
TX2OUT2-
9,11 TX2OUT2+
9,11 TX2OUT2-
120Z/100M 2012
1
2
G
TX2OUT0+
TX2OUT0-
9,11 TX2OUT0+
9,11 TX2OUT0-
L1
D
TX2CLK+
TX2CLK-
TX2CLK+
TX2CLK-
LCDVCC
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
S
9,11
9,11
J11
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
LCD CONNECTOR
LCDVCC
RP1
1K*4
1206
ENAVDD
ENAVDD 9,11
GND1
GND2
5
6
7
8
MA/20PX2/ST
ACES
87216-4000
Layout Note:
LCD_ID3 LCD_ID2 LCD_ID1 LCD_ID0
CMO 14.1" XGA TFT:
N141X6
Sumsung 15”XGA TFT:
LT150X3-124
0
0
0
0
1
0
0
1
0
0
0
1
1
+5VS
U1
+3V
1
0
1
0
0
+5VS
0
1
0
8
2
7
3
6
4
5
1
1
1
0
0
1
1
1
F501
mircoSMDC110
BATT_LED# 25
B
16
ACPILED
ACPILED
2
Q501
DTC144TKA
R1
1
0
BATT_LED#
R719
10K
0603
+3VS
+5VS
7,11
W/S=16/12/12/12/16 mils
CRT_RED
7,11 CRT_GREEN
CRT_BLUE
Close to VGA Connector
2 L502120Z/100M
1608
CRT_GREEN
1
2 L503120Z/100M
1608
CRT_BLUE
1
2 L504120Z/100M
1608
2
D502
3
BATT_POWER#
1
BAV99_NA
1
2
EC11FS2
D503
SSOP8
16
GND_CRT15
1
9
2
10
3
11
4
12
5
13
6
14
7
15
8
DDC2B
G
7,11
CRT_RED
R4
2.2K
0603
K
R3
2.2K
0603
2
A
1
1
PACDN006/NA
DDC2B 1Amp
(40mil-60mil)
CRT_DDDA
Q1
D
S
D
S
7,11 CRT_DDDA
4
3
2
1
5
6
7
8
2N7002
1
4
3
2
1
17
JL502
2
5
6
7
8
R502
2
5
6
7
8
A
R501
10K
0603
SHORT-SMT3
JL501
1
2
D
2N7002
+3V
C501
10U
1206
10V
1
5
6
7
8
S
5
6
7
8
CRT_DDCK
D
S
7,11 CRT_DDCK
RP501
75*4
1206
CP501
22P*4
1206
SHORT-SMT3
GND_CRT15
GND_CRT15
1
2
GND_CRT15
CRT_IN#
CRT_IN# 16
GND_CRT15
2
1
GND_CRT15
GND_CRT15
J6
VGA
SUYIN
7535S-15G2T-05
1
Q2
A
CP502
22P*4
1206
2
G
7,11 CRT_VSYNC
CP508
22P*4/NA
1206
CRT_VSYNC
4
3
2
1
Close to VGA Connector
4
3
2
1
FA501
120OHM/100MHZ
CRT_HSYNC
4
3
2
1
7,11 CRT_HSYNC
External VGA Connector
AU 15" SXGA+ TFT:
B150PN01
0
1
AU 15" XGA TFT:
(TBD)
0
2
B
Sumsung 15”SXGA+
TFT: LTN150P1-L04
HannStar 15”XGA TFT:
HSD151PX11-B
0
3
AU 14.1" XGA TFT:
B141XN04-2 (UB141X03)
S/W/W/S=12/6/6/12 mils
as short as possible
㆕組各自平行走線等長
1
QDI 14.1" XGA TFT:
QD141X1LH03-MP01/03
2
Display
C502
100P
0603
10%
1K
0603
Title
LXD / VGA INTERFACE
Size
GND_CRT15
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
12
of
32
1
2
3
4
5
6
7
8
CLOCK GEN/BUFFER
+3VS
+3VS
U510
C655
0.1U
0603
50V
+VCC_CORE
+3VS
+3VS
L26
1
VDDAGP
38
IREF
SDRAM
47
1
2 22
AGPCLK0
AGPCLK1
31
30
R686
R692
1
1
2 22
2 22
AGP_CLK
66M_AGP
ZCLK0
ZCLK1
9
10
R661
R660
1
1
2 22
2 22
ZCLK0
ZCLK1
**FS3/PCICLK_F0
**FS4/PCICLK_F1
PCICLK0
PCICLK1
PCICLK2
PCICLK3
PCICLK4
PCICLK5
14
15
16
17
20
21
22
23
FS3
FS4
R678
R21
1
1
2 33
2 33
CLK_SBPCI
CLK_LPC33
R689
1
2 33
PCICLK_CARD
2
3
4
FS0
FS1
FS2
**FS0/REF0
**FS1/REF1
**FS2/REF2
48MHZ
24_48MHZ/MULTISEL*
27
26
SCLK
SDATA
35
34
FSB 400/533 SELECT (DDR DEFAULT 266)
+3VS
HCLK_SIS650 6
HCLK_SIS650# 6
SDRAMCLK 7
R195
475
0603
AGP_CLK 6
66M_AGP 10
ZCLK0
ZCLK1
7
15
1
2 33
R643
R644
R684
R620
R704
R699
R652
1
1
1
1
1
1
1
2
2
2
2
2
2
2
R683
R685
1
1
2 33
2 33
CLK_MINIPCI
33
33
33/NA
33
22
22
33
GNDA
Q6
6
REFCLK0 7
REFCLK1 16
14.318MHZ_AUDIO 18
REFCLK3 16
USBCLK_SB 17
CLK_SIO 22
14.318MHZ_TV 9
1
2
1
2
C811
1000P
0603
FWDSDCLKO
BF_OUT
FWDSDCLKO
CBVDD
+2.5V_DDR
CBVDDA
L536
1
C823
0.1U
0603
50V
2
1
C810
0.1U
0603
50V
2
1
300Z/100M
2012
C820
10U
1206
10V
2
2
C
CBVDD
2
1
1
C813
0.1U
0603
50V
SMBCLK
SMBDATA
4
1
2
FS4
2
645DX
22ohm
NA
NA
R692
R643
R652
C648
10P
0603
M650
NA
22ohm
22ohm
SCLK
SDATA
20
8
FBINT
CLK_INT
3
12
23
10
VDD0
VDD1
VDD2
VDDA
6
11
15
28
GND0
GND1
GND2
GND3
21
18
9
N/C0
N/C1
N/C2
FB_OUTT
19
R804 1
BF_OUT
2 22
CLKT0
CLKC0
2
1
R776 1
R775 1
2
2
0
0
CLK_DDR0
CLK_DDR0#
CLKT1
CLKC1
4
5
R777 1
R778 1
2
2
0
0
CLK_DDR1
CLK_DDR1#
CLKT2
CLKC2
13
14
R779 1
R780 1
2
2
0
0
CLK_DDR2
CLK_DDR2#
CLKT3
CLKC3
17
16
R805 1
R806 1
2
2
0
0
CLK_DDR3
CLK_DDR3#
CLKT4
CLKC4
24
25
R803 1
R802 1
2
2
0
0
CLK_DDR4
CLK_DDR4#
CLKT5
CLKC5
26
27
R801 1
R800 1
2
2
0
0
CLK_DDR5
CLK_DDR5#
CLK_DDR0 14
CLK_DDR0# 14
CLK_DDR1 14
CLK_DDR1# 14
CLK_DDR2 14
CLK_DDR2# 14
CLK_DDR3 14
CLK_DDR3# 14
CLK_DDR4 14
CLK_DDR4# 14
CLK_DDR5 14
CLK_DDR5# 14
C692
0.1U
0603
50V
C254
10U
1206
10V
D
BF_OUT
C829 1
2 10P/NA
0603D
CLK_DDR0
C793 1
2 10P/NA
0603D
CLK_DDR0#
C792 1
2 10P/NA
0603D
CLK_DDR1
C794 1
2 10P/NA
0603D
CLK_DDR1#
C795 1
2 10P/NA
0603D
CLK_DDR2
C797 1
2 10P/NA
0603D
CLK_DDR2#
C798 1
2 10P/NA
0603D
CLK_DDR3
C830 1
2 10P/NA
0603D
CLK_DDR3#
C831 1
2 10P/NA
0603D
CLK_DDR4
C828 1
2 10P/NA
0603D
CLK_DDR4#
C827 1
2 10P/NA
0603D
CLK_DDR5
CLK_DDR5#
B
Bit2 Bit7 Bit6 Bit5 Bit4
1
2
1
2
1
2
1
2 0603
4.7K
VDDA48
+3VS
C92
10U
1206
10V
BSEL0
BSEL0
2 0603
L28
120Z/100M
C107 1608
0.1U
0603
50V
FS3
R669
ICS93722
SSOP28A
2
FS2
2
SMBCLK 14,16
SMBDATA 14,16
R676 1
Layout note: Place crystal within
500 mils of CLK Gen.
2
7
22
+3VS
1
R1
R86
10K
0603
U518
SMBCLK
SMBDATA
7
R85
10K
0603
4.7K
1
1
2
C646
10P
0603
CBVDDA
C796
0.1U
0603
50V
FS0
2
DTC144TKA
L534
300Z/100M
2012
R73
10K
0603
1
CLK_MINIPCI 23
REFCLK0
REFCLK1
14.318MHZ_AUDIO
REFCLK3
USBCLK_SB
CLK_SIO
14.318MHZ_TV
R682 1
X503
1
3
2
4
14.318MHZ
C97
0.1U
0603
50V
2
R87
10K
0603
R76
10K
0603
1
FS1
PCICLK_CARD 19
2
R696
+3VS
CLK_SBPCI 15
CLK_LPC33 22
+2.5V_DDR
1
+3VS
4.7K/NA
37
ICS952001
SSOP48
1
2
2
SDRAMCLK
HCLK_CPU 4
HCLK_CPU# 4
+3VS
1
1
2
HCLK_SIS650
HCLK_SIS650#
VDDA
VDDCPU
C631
0.1U 120Z/100M
0603 1608
50V
2 33
2 33
1
C671
1000P
0603
L23
2
1
1
R651
X1
1
2
36
C670
0.1U
0603
50V
+3VS
1
R654
R658
1
E
2
C682
0.1U
0603
50V
2
1
C661
0.1U
0603
50V
120Z/100M
1608
CPUCLKT_1
CPUCLKC_1
44
43
L24
1
C102 300Z/100M
0.1U 2012
0603
50V
VDDPCI
2
HCLK_CPU
HCLK_CPU#
+3VS
+3VS
1
PD#*/VTT_PWRGD
2 33
2 33
C
Q8
MMBT3904L
L529
2 475 1%
0603
2
B
R677 1
1
1
2
120Z/100M
1608
Q7
MMBT3904L
B
B
C630
0.1U
0603
50V
33
E
VDDSD
2
2
1
2
R96
10K
0603
L522
C
+3VS
VTT_PWRGD
2
R100
10K
0603
1
2
120Z/100M
1608
R107
10K
0603
1
C681
0.1U
0603
50V
2
2
1
1
1
1
2
2
+3VS
GNDREF
GNDZ
GNDPCI0
GNDPCI1
GND48
GNDAGP
GNDCPU
GNDSD
R664
R671
X2
2
120Z/100M
1608
5
8
18
24
25
32
41
46
40
39
1
VDDZ
CPUCLKT_0
CPUCLKC_0
2
A
RLS4148
1
1
*PCI_STOP#
CPU_STOP#*
1
RLS4148
L525
K
D505
12
45
2
D506
A
K
A
7
+3VS
STP_PCI#
CPU_STP#
VDDA48
VDDAGP
VDDCPU
VDDSD
2
2
16 STP_PCI#
16,28 CPU_STP#
2
C632
0.1U
0603
50V
120Z/100M
1608
R675
10K
0603
3
R657
10K
0603
VDDREF
VDDZ
VDDPCI0
VDDPCI1
VDDA48
VDDAGP
VDDCPU
VDDSD
1
1
1
VDDREF
2
1
1
1
11
13
19
28
29
42
48
1
VDDREF
VDDZ
VDDPCI
L523
2
+3VS
A
C826 1
C825 1
2 10P/NA
2 10P/NA
HCLK_CPU
HCLK_CPU#
HCLK_SIS650
HCLK_SIS650#
R663
R670
R650
R653
1
1
1
1
2
2
2
2
51.1 0603
51.1 0603
51.1 0603
51.1 0603
1%
1%
1%
1%
FS4 FS3 FS2 FS1 FS0
CPU
SDRAM
ZCLK
AGP
PCI
0
0
0
0
0
66.67
66.67
66.67
66.67
33.33
0
0
0
0
1
100.00
100.00
66.67
66.67
33.33
0
0
0
1
0
100.00
200.00
66.67
66.67
33.33
0
0
0
1
1
100.00
133.33
66.67
66.67
33.33
0
0
1
0
0
100.00
150.00
60.00
60.00
30.00
0
0
1
0
1
100.00
125.00
62.50
62.50
31.25
0
0
1
1
0
100.00
160.00
66.67
66.67
33.33
0
0
1
1
1
100.00
133.33
80.00
66.67
33.33
0
1
0
0
0
100.00
200.00
66.67
66.67
33.33
0
1
0
0
1
100.00
166.67
62.50
62.50
31.25
0
1
0
1
0
100.00
166.67
71.43
83.33
41.67
0
1
0
1
1
80.00
133.33
66.67
66.67
33.33
0
1
1
0
0
80.00
133.33
66.67
66.67
33.33
0
1
1
0
1
95.00
95.00
63.33
63.33
31.67
0
1
1
1
0
95.00
126.67
63.33
63.33
31.67
0
1
1
1
1
66.67
66.67
50.00
50.00
25.00
1
0
0
0
0
105.00
140.00
70.00
70.00
35.00
1
0
0
0
1
100.90
100.90
67.27
67.27
33.63
1
0
0
1
0
108.00
144.00
72.00
72.00
36.00
1
0
0
1
1
100.90
134.53
67.27
67.27
33.63
1
0
1
0
0
112.00
149.33
74.67
74.67
37.33
1
0
1
0
1
133.33
100.00
66.67
66.67
33.33
1
0
1
1
0
133.33
133.33
66.67
66.67
33.33
FSB533/DDR266
1
0
1
1
1
133.33
166.67
66.67
66.67
33.33
FSB533/DDR333
1
1
0
0
0
100.00
133.00
80.00
66.67
33.33
1
1
0
0
1
100.00
100.00
80.00
66.67
33.33
1
1
0
1
0
100.00
166.67
83.33
62.50
31.25
SDRAMCLK
C635 1
2 10P/NA
0603D
1
1
0
1
1
133.33
160.00
80.00
66.67
33.33
ZCLK0
C652 1
2 10P/NA
0603D
1
1
1
0
0
100.00
133.00
100.00
66.67
33.33
ZCLK1
C654 1
2 10P/NA
0603D
1
1
1
0
1
100.00
100.00
100.00
66.67
33.33
CLK_SBPCI
C663 1
2 10P/NA
0603D
1
1
1
1
0
100.00
166.67
100.00
62.50
31.25
CLK_LPC33
C117 1
2 10P/NA
0603D
1
1
1
1
1
133.33
160.00
100.00
66.67
33.33
AGP_CLK
C679 1
2 10P/NA
0603D
66M_AGP
C691 1
2 10P/NA
0603D
REFCLK3
C634 1
2 10P/NA
0603D
14.318MHZ_AUDIO C669 1
2 10P/NA
0603D
REFCLK0
C633 1
2 10P/NA
0603D
REFCLK1
C622 1
2 10P/NA
0603D
USBCLK_SB
C701 1
2 10P/NA
0603D
CLK_SIO
C698 1
2 10P/NA
0603D
14.318MHZ_TV
C638 1
2 10P/NA
0603D
PCICLK_CARD
C677 1
2 10P/NA
0603D
CLK_MINIPCI
C695 1
2 10P/NA
0603D
FSB400/DDR266
DEFAULT 1
FSB400/DDR333
C
DEFAULT 2
D
0603D
0603D
Title
CLOCK GENERATOR
Size
Date:
1
2
3
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
4
5
6
7
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
13
8
of
32
A
B
C
D
E
DDR SODIMM
MA10
BA0
WE#
CS0#
MD32
MD33
MD48
MD49
MD53
MD52
MD47
MD43
DQS5
MD42
DQS4
MD34
MD35
MD40
MD41
DQS5
MD61
MD60
MD55
MD51
DQS6
MD50
MD54
DQM6
MD42
MD43
MD48
MD49
MD59
MD63
MD58
DQS7
MD62
DQM7
MD56
MD57
DQS6
MD50
MD51
MD56
MD57
DQS7
DQS4
MD34
MD38
DQM4
MD32
MD33
MD37
MD36
MD58
MD59
SMBDATA
SMBCLK
13,16 SMBDATA
13,16
SMBCLK
MD30
MD31
MD26
MD27
13
13
CKE0
MA12
MA9
MA6
MA4
MA2
MA0
MA7
MA5
MA3
MA1
BA1
RAS#
CAS#
CS1#
MA10
BA0
WE#
CS2#
MD36
MD37
MD32
MD33
DQM4
MD38
DQS4
MD34
MD39
MD44
MD35
MD40
MD45
DQM5
MD41
DQS5
MD46
MD47
MD42
MD43
CLK_DDR1#
CLK_DDR1
CLK_DDR1# 13
CLK_DDR1 13
MD52
MD53
MD48
MD49
DQM6
MD54
DQS6
MD50
MD55
MD60
MD51
MD56
MD61
DQM7
MD57
DQS7
MD62
MD63
MD58
MD59
SMBDATA
SMBCLK
13,16 SMBDATA
13,16
SMBCLK
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199
PLACE CLOSE TO J5
1 33*8
2 RPX8
3
4
5
6
7
8
DQ16
DQ20
DQ17
DQ21
VDD4
VDD21
DQS2
DM2
DQ18
DQ22
VSS5
VSS22
DQ19
DQ23
DQ24
DQ28
VDD5
VDD22
DQ25
DQ29
DQS3
DM3
VSS6
VSS23
DQ26
DQ30
DQ27
DQ31
VDD6
VDD23
CB0
CB4
CB1
CB5
VSS7
VSS24
DQS8
DM8
CB2
CB6
VDD7
VDD24
CB3
CB7
DU1
RESET(DU)
VSS8
VSS25
CK2
VSS26
CK2#
VDD25
VDD8
VDD26
CKE1
CKE0
A13(DU)
BA2(DU)
A12
A11
A9
A8
VSS9
VSS27
A7
A6
A5
A4
A3
A2
A1
A0
VDD9
VDD27
A10/AP
BA1
BA0
RAS#
WE#
CAS#
S0#
S1#
DU2
DU3
VSS10
VSS28
DQ32
DQ36
DQ33
DQ37
VDD10
VDD28
DQS4
DM4
DQ34
DQ38
VSS11
VSS29
DQ35
DQ39
DQ40
DQ44
VDD11
VDD29
DQ41
DQ45
DQS5
DM5
VSS12
VSS30
DQ42
DQ46
DQ43
DQ47
VDD12
VDD30
VDD13
CK1#
VSS13
CK1
VSS14
VSS31
DQ48
DQ52
DQ49
DQ53
VDD14
VDD31
DQS6
DM6
DQ50
DQ54
VSS15
VSS32
DQ51
DQ55
DQ56
DQ60
VDD15
VDD32
DQ57
DQ61
DQS7
DM7
VSS16
VSS33
DQ58
DQ62
DQ59
DQ63
VDD16
VDD33
SDA
SA0
SCL
SA1
VDDSPD
SA2
VDDID
DU4
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
MD4
MD5
MA7
MA5
MA4
MA6
MA12
MA9
MA8
MA11
RP535
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
DQM0
MD6
MD7
MD12
MD13
DQM1
MD14
MD15
CAS#
RAS#
BA1
MA10
MA0
MA3
MA1
MA2
RP536
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
DQS0
MD2
MD6
DQM0
MD0
MD1
MD5
MD4
MD20
MD21
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200
DQM2
MD22
MD23
MD28
MD29
DQM3
MD30
MD31
CKE2
CKE2
7
MA11
MA8
MA6
MA4
MA2
MA0
BA1
RAS#
CAS#
CS3#
MD36
MD37
DQM4
MD38
MD39
MD44
MD45
DQM5
MD46
MD47
CLK_DDR4#
CLK_DDR4
CLK_DDR4# 13
CLK_DDR4 13
MD52
MD53
DQM6
MD54
MD55
MD60
MD61
DQM7
MD62
MD63
+2.5V_DDR
RP530
MD10
DQS1
MD13
MD12
MD9
MD8
MD7
MD3
RP531
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD29
MD28
MD19
MD23
MD18
DQS2
DQM2
MD22
RP533
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD31
MD27
DQS3
MD26
MD30
DQM3
MD24
MD25
RP534
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD46
DQM5
MD40
MD41
MD45
MD44
MD39
MD35
RP539
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD48
MD49
MD53
MD52
MD47
MD43
DQS5
MD42
RP540
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD61
MD60
MD55
MD51
DQS6
MD50
MD54
DQM6
RP541
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD59
MD63
MD58
DQS7
MD62
DQM7
MD56
MD57
RP542
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
DQS4
MD34
MD38
DQM4
MD32
MD33
MD37
MD36
RP538
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
MD17
MD16
MD20
MD21
MD15
MD14
MD11
DQM1
RP532
16
15
14
13
12
11
10
9
1 33*8
2 RPX8
3
4
5
6
7
8
3
2
C869
0.1U
0603
16V
C862
0.1U
0603
16V
C844
0.1U
0603
16V
C859
0.1U
0603
16V
C870
0.1U
0603
16V
1
1
1
1
1
1
C891
0.1U
0603
16V
C863
0.1U
0603
16V
+1.25V
2
C858
0.1U
0603
16V
2
C861
0.1U
0603
16V
2
C868
0.1U
0603
16V
2
C857
0.1U
0603
16V
2
C879
0.1U
0603
16V
C860
0.1U
0603
16V
+1.25V
C855
0.1U
0603
16V
C874
0.1U
0603
16V
C875
0.1U
0603
16V
C867
0.1U
0603
16V
C890
0.1U
0603
16V
1
1
C872
0.1U
0603
16V
2
1
C853
0.1U
0603
16V
2
1
C864
0.1U
0603
16V
2
1
1
1
C888
0.1U
0603
16V
C865
0.1U
0603
16V
1
1
C889
0.1U
0603
16V
2
1
1
C866
0.1U
0603
16V
2
1
C873
0.1U
0603
16V
2
1
C854
0.1U
0603
16V
2
1
2
2
C894
0.1U
0603
16V
C843
0.1U
0603
16V
+1.25V
1
+1.25V
0603D
C892
0.1U
0603
16V
2
C893
0.1U
0603
16V
2
C877
0.1U
0603
16V
1
1
1
C882
0.1U
0603
16V
2
C887
0.1U
0603
16V
2
C871
0.1U
0603
16V
C856
0.1U
0603
16V
2
C846
0.1U
0603
16V
2
C881
0.1U
0603
16V
2
1
1
C886
0.1U
0603
16V
2
1
C895
0.1U
0603
16V
2
1
C880
0.1U
0603
16V
2
2
2
1
0603D
C885
0.1U
0603
16V
1
0603D
C228
0.1U
2
C227
0.1U
2
C226
0.1U
1
1
1
C216
10U
1206
10V
2
1
1
0603D
C213
10U
1206
10V
2
C222
1U
2
1
C244
10U
1206
10V
2
1
C245
10U
1206
10V
2
0603D
1
C230
1U
2
0603D
1
C229
1U
2
1
0603D
2
1
2
C231
1U
1
+2.5V_DDR
1
C876
0.1U
0603
16V
Title
DDR SO-DIMMs
Size
Date:
A
4
+1.25V
2
C884
0.1U
0603
16V
+1.25V
+2.5V_DDR
VREF2
VSS17
DQ4
DQ5
VDD17
DM0
DQ6
VSS18
DQ7
DQ12
VDD18
DQ13
DM1
VSS19
DQ14
DQ15
VDD19
VDD20
VSS20
VSS21
+1.25V
1
1
1
C845
0.1U
0603
16V
2
1
C878
0.1U
0603
16V
2
1
C883
0.1U
0603
16V
2
1
C852
0.1U
0603
16V
2
C243
10U
1206
10V
2
1
1
C242
10U
1206
10V
2
0603D
0603D
C241
10U
1206
10V
2
1
C225
1U
2
C224
1U
2
0603D
1
1
1
0603D
2
2
2
0603D
C223
1U
2
1
1
1
+1.25V
C221
0.1U
16
15
14
13
12
11
10
9
THESE DECOUPLING CAPACITOR SHOULD BE PLACE WITHIN 150 Mils OF +1.25V THERMINATION R-PACKs
2
MD17
MD16
MD20
MD21
MD15
MD14
MD11
DQM1
VREF1
VSS1
DQ0
DQ1
VDD1
DQS0
DQ2
VSS2
DQ3
DQ8
VDD2
DQ9
DQS1
VSS3
DQ10
DQ11
VDD3
CK0
CK0#
VSS4
0.6MM/200P/H4
QTCC106A-040RP11
PLACE CLOSE TO J4
1
CKE3
CKE3
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
0.6MM/200P/H9.2
QTCC106A-092SFP11-01
C220
0.1U
2
7 7
MA11
MA8
+2.5V_DDR
C847
10U
1206
10V
CKE0
CLK_DDR5
CLK_DDR5#
CLK_DDR5
CLK_DDR5#
1
16 RPX8
15
14
13
12
11
10
9
MD46
DQM5
MD40
MD41
MD45
MD44
MD39
MD35
MD25
DQS3
2
10*8
MA7
MA5
MA3
MA1
MD19
MD24
MD29
DQM3
2
DDR_MD17 RP14 1
DDR_MD16
2
DDR_MD20
3
DDR_MD21
4
DDR_MD15
5
DDR_MD14
6
DDR_MD11
7
DDR_DQM1
8
16 RPX8
15
14
13
12
11
10
9
MA12
MA9
1
10*8
RPX8
CKE1
CKE1
2
DDR_DQS4 RP20 1
DDR_MD34
2
DDR_MD38
3
DDR_DQM4
4
DDR_MD32
5
DDR_MD33
6
DDR_MD37
7
DDR_MD36
8
16
15
14
13
12
11
10
9
7
MD23
MD28
1
10*8
MD31
MD27
DQS3
MD26
MD30
DQM3
MD24
MD25
CLK_DDR2
CLK_DDR2#
CLK_DDR2
CLK_DDR2#
DQS2
MD18
2
1
2
3
4
5
6
7
8
RPX8
13
13
DQM2
MD22
1
DDR_MD59 RP24
DDR_MD63
DDR_MD58
DDR_DQS7
DDR_MD62
DDR_DQM7
DDR_MD56
DDR_MD57
16
15
14
13
12
11
10
9
MD29
MD28
MD19
MD23
MD18
DQS2
DQM2
MD22
1
10*8
MD26
MD27
R812
49.9
0603
1%
2
1
2
3
4
5
6
7
8
RPX8
1
DDR_MD61 RP23
DDR_MD60
DDR_MD55
DDR_MD51
DDR_DQS6
DDR_MD50
DDR_MD54
DDR_DQM6
16
15
14
13
12
11
10
9
2
10*8
1
1
2
3
4
5
6
7
8
RPX8
MD25
DQS3
MD16
MD17
2
DDR_MD17
DDR_MD16
DDR_MD20
DDR_MD21
DDR_MD15
DDR_MD14
DDR_MD11
DDR_DQM1
DDR_MD48 RP22
DDR_MD49
DDR_MD53
DDR_MD52
DDR_MD47
DDR_MD43
DDR_DQS5
DDR_MD42
16
15
14
13
12
11
10
9
MD19
MD24
MD20
MD21
1
7
7
7
7
7
7
7
7
10*8
R807
49.9
0603
1%
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200
2
DDR_DQS4
DDR_MD34
DDR_MD38
DDR_DQM4
DDR_MD32
DDR_MD33
DDR_MD37
DDR_MD36
1
2
3
4
5
6
7
8
RPX8
R825
0/NA
0603
DQ16
DQ20
DQ17
DQ21
VDD4
VDD21
DQS2
DM2
DQ18
DQ22
VSS5
VSS22
DQ19
DQ23
DQ24
DQ28
VDD5
VDD22
DQ25
DQ29
DQS3
DM3
VSS6
VSS23
DQ26
DQ30
DQ27
DQ31
VDD6
VDD23
CB0
CB4
CB1
CB5
VSS7
VSS24
DQS8
DM8
CB2
CB6
VDD7
VDD24
CB3
CB7
DU1
RESET(DU)
VSS8
VSS25
CK2
VSS26
CK2#
VDD25
VDD8
VDD26
CKE1
CKE0
A13(DU)
BA2(DU)
A12
A11
A9
A8
VSS9
VSS27
A7
A6
A5
A4
A3
A2
A1
A0
VDD9
VDD27
A10/AP
BA1
BA0
RAS#
WE#
CAS#
S0#
S1#
DU2
DU3
VSS10
VSS28
DQ32
DQ36
DQ33
DQ37
VDD10
VDD28
DQS4
DM4
DQ34
DQ38
VSS11
VSS29
DQ35
DQ39
DQ40
DQ44
VDD11
VDD29
DQ41
DQ45
DQS5
DM5
VSS12
VSS30
DQ42
DQ46
DQ43
DQ47
VDD12
VDD30
VDD13
CK1#
VSS13
CK1
VSS14
VSS31
DQ48
DQ52
DQ49
DQ53
VDD14
VDD31
DQS6
DM6
DQ50
DQ54
VSS15
VSS32
DQ51
DQ55
DQ56
DQ60
VDD15
VDD32
DQ57
DQ61
DQS7
DM7
VSS16
VSS33
DQ58
DQ62
DQ59
DQ63
VDD16
VDD33
SDA
SA0
SCL
SA1
VDDSPD
SA2
VDDID
DU4
CLK_DDR3
CLK_DDR3#
CLK_DDR3
CLK_DDR3#
1
7
7
7
7
7
7
7
7
DDR_MD46 RP21
DDR_DQM5
DDR_MD40
DDR_MD41
DDR_MD45
DDR_MD44
DDR_MD39
DDR_MD35
16
15
14
13
12
11
10
9
DQS2
MD18
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199
13
13
2
DDR_MD59
DDR_MD63
DDR_MD58
DDR_DQS7
DDR_MD62
DDR_DQM7
DDR_MD56
DDR_MD57
10*8
+2.5V_DDR +DDRVREF
+1.25V_REF
MD10
DQS1
MD13
MD12
MD9
MD8
MD7
MD3
MD16
MD17
MD10
MD11
1
7
7
7
7
7
7
7
7
1
2
3
4
5
6
7
8
RPX8
DQS0
MD2
MD6
DQM0
MD0
MD1
MD5
MD4
CLK_DDR0
CLK_DDR0#
CLK_DDR0
CLK_DDR0#
MD9
DQS1
MD14
MD15
2
DDR_MD61
DDR_MD60
DDR_MD55
DDR_MD51
DDR_DQS6
DDR_MD50
DDR_MD54
DDR_DQM6
DDR_MD31 RP16
DDR_MD27
DDR_DQS3
DDR_MD26
DDR_MD30
DDR_DQM3
DDR_MD24
DDR_MD25
16
15
14
13
12
11
10
9
13
13
DQS0
MD2
MD13
DQM1
1
7
7
7
7
7
7
7
7
10*8
MD10
MD11
2
DDR_MD48
DDR_MD49
DDR_MD53
DDR_MD52
DDR_MD47
DDR_MD43
DDR_DQS5
DDR_MD42
1
2
3
4
5
6
7
8
RPX8
MD9
DQS1
C841
1000P
0603
MD3
MD8
1
7
7
7
7
7
7
7
7
DDR_MD29 RP15
DDR_MD28
DDR_MD19
DDR_MD23
DDR_MD18
DDR_DQS2
DDR_DQM2
DDR_MD22
16
15
14
13
12
11
10
9
CAS#
RAS#
BA1
MA10
MA0
MA3
MA1
MA2
C840
0.1U
0603
50V
MD0
MD1
MD7
MD12
2
DDR_MD46
DDR_DQM5
DDR_MD40
DDR_MD41
DDR_MD45
DDR_MD44
DDR_MD39
DDR_MD35
10*8
MD3
MD8
DQM0
MD6
1
7
7
7
7
7
7
7
7
1
2
3
4
5
6
7
8
RPX8
DQS0
MD2
MD4
MD5
2
DDR_MD31
DDR_MD27
DDR_DQS3
DDR_MD26
DDR_MD30
DDR_DQM3
DDR_MD24
DDR_MD25
DDR_MD10RP13
DDR_DQS1
DDR_MD13
DDR_MD12
DDR_MD9
DDR_MD8
DDR_MD7
DDR_MD3
16
15
14
13
12
11
10
9
C839
1000P
0603
VREF2
VSS17
DQ4
DQ5
VDD17
DM0
DQ6
VSS18
DQ7
DQ12
VDD18
DQ13
DM1
VSS19
DQ14
DQ15
VDD19
VDD20
VSS20
VSS21
1
7
7
7
7
7
7
7
7
10*8
C837
0.1U
0603
50V
J504
VREF1
VSS1
DQ0
DQ1
VDD1
DQS0
DQ2
VSS2
DQ3
DQ8
VDD2
DQ9
DQS1
VSS3
DQ10
DQ11
VDD3
CK0
CK0#
VSS4
2
DDR_MD29
DDR_MD28
DDR_MD19
DDR_MD23
DDR_MD18
DDR_DQS2
DDR_DQM2
DDR_MD22
1
2
3
4
5
6
7
8
RPX8
MD0
MD1
1
7
7
7
7
7
7
7
7
DDR_DQS0 RP12
DDR_MD2
DDR_MD6
DDR_DQM0
DDR_MD0
DDR_MD1
DDR_MD5
DDR_MD4
16
15
14
13
12
11
10
9
MA7
MA5
MA4
MA6
MA12
MA9
MA8
MA11
RP537
CS2#
CS3#
WE#
BA0
+DDRVREF
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
2
DDR_MD10
DDR_DQS1
DDR_MD13
DDR_MD12
DDR_MD9
DDR_MD8
DDR_MD7
DDR_MD3
0*8
CS0#
CS1#
J22
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
2
7
7
7
7
7
7
7
7
1
2
3
4
5
6
7
8
+2.5V_DDR
PLACE CLOSE TO J5
+DDRVREF
2
DDR_DQS0
DDR_MD2
DDR_MD6
DDR_DQM0
DDR_MD0
DDR_MD1
DDR_MD5
DDR_MD4
RP18
+2.5V_DDR
PLACE CLOSE TO J4
CS2#
CS3#
WE#
BA0
1
7
7
7
7
7
7
7
7
DDR_CAS#
DDR_RAS#
DDR_BA1
DDR_MA10
DDR_MA0
DDR_MA3
DDR_MA1
DDR_MA2
16
15
14
13
12
11
10
9
RPX8
CS0#
CS1#
2
DDR_CAS#
DDR_RAS#
DDR_BA1
DDR_MA10
DDR_MA0
DDR_MA3
DDR_MA1
DDR_MA2
1
2
3
4
5
6
7
8
0*8
RPX8
1
7
7
7
7
7
7
7
7
RP17
16
15
14
13
12
11
10
9
2
2
DDR_MA7
DDR_MA5
DDR_MA4
DDR_MA6
DDR_MA12
DDR_MA9
DDR_MA8
DDR_MA11
DDR_MA7
DDR_MA5
DDR_MA4
DDR_MA6
DDR_MA12
DDR_MA9
DDR_MA8
DDR_MA11
0*8
1
2
3
4
5
6
7
8
2
3
7
7
7
7
7
7
7
7
RP19
DDR_CS2#
DDR_CS3#
DDR_WE#
DDR_BA0
2
4
DDR_CS2#
DDR_CS3#
DDR_WE#
DDR_BA0
DDR_CS0#
DDR_CS1#
1
7
7
7
7
DDR_CS0#
DDR_CS1#
2
7
7
+1.25V
B
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
C
D
Document
Number
Rev
8640
01
Wednesday, August 28, 2002
E
Sheet
14
of
32
5
4
3
2
1
SIS962(1/3)
PCI BUS PULL UP RESISTERS
+3VS
+3VS
RP514
PCI_AD[0..31]
19,23 PCI_AD[0..31]
1
2
3
4
5
PCI_GNT4#
PCI_AD31
PCI_AD30
PCI_AD29
PCI_AD28
PCI_AD27
PCI_AD26
PCI_AD25
PCI_AD24
PCI_AD23
PCI_AD22
PCI_AD21
PCI_AD20
PCI_AD19
PCI_AD18
PCI_AD17
PCI_AD16
PCI_AD15
PCI_AD14
PCI_AD13
PCI_AD12
PCI_AD11
PCI_AD10
PCI_AD9
PCI_AD8
PCI_AD7
PCI_AD6
PCI_AD5
PCI_AD4
PCI_AD3
PCI_AD2
PCI_AD1
PCI_AD0
D
23
23
PCI_REQ0#
PCI_GNT3#
PCI_GNT2#
19
PCI_GNT0#
19,23 PCI_C/BE#[0..3]
7,9,10
19
23
23
PCI_INTA#
PCI_INTB#
PCI_INTC#
PCI_INTD#
19,23 PCI_FRAME#
19,23 PCI_IRDY#
19,23 PCI_TRDY#
19,23 PCI_STOP#
19,23 PCI_SERR#
19,23 PCI_PAR
19,23 PCI_DEVSEL#
19 PCI_LOCK#
C
ZSTB0
ZSTB0#
7
7
ZSTB1
ZSTB1#
7
7
ZUREQ
ZDREQ
PREQ4#
PREQ3#
PREQ2#
PREQ1#
PREQ0#
PCI_GNT4#
PCI_GNT3#
PCI_GNT2#
PCI_GNT1#
PCI_GNT0#
H3
G1
G2
G3
H4
PGNT4#
PGNT3#
PGNT2#
PGNT1#
PGNT0#
PCI_C/BE#3
PCI_C/BE#2
PCI_C/BE#1
PCI_C/BE#0
K3
M4
P1
R4
C/BE3#
C/BE2#
C/BE1#
C/BE0#
PCI_INTA#
PCI_INTB#
PCI_INTC#
PCI_INTD#
E3
F4
E2
G4
INTA#
INTB#
INTC#
INTD#
M3
M1
M2
N4
FRAME#
IRDY#
TRDY#
STOP#
PCI_SERR#
PCI_PAR
PCI_DEVSEL#
PCI_LOCK#
M5
N3
N1
N2
SERR#
PAR
DEVSEL#
PLOCK#
Y3
Y4
ICHRDYA
IDREQA
IIRQA
CBLIDA
W10
V10
Y11
U12
IDE_PIORDY
IDE_PDDREQ
IDE_IRQ14
IIORA#
IIOWA#
IDACKA#
V11
Y9
Y10
IDE_PDIOR#
IDE_PDIOW#
IDE_PDDACK#
V20
ZCLK
ZSTB0
ZSTB0#
N19
N20
ZSTB0
ZSTB0#
ZSTB1
ZSTB1#
K20
K19
ZSTB1
ZSTB1#
ZUREQ
ZDREQ
N16
N17
ZUREQ
ZDREQ
SVDDZCMP
SZCMP_N
R19
N18
VDDZCMP
ZCMP_N
SZCMP_P
SVSSZCMP
R18
P18
ZCMP_P
VSSZCMP
SZ1XAVDD
SZ1XAVSS
U20
U19
Z1XAVDD
Z1XAVSS
SZ4XAVDD
SZ4XAVSS
T20
T19
Z4XAVDD
Z4XAVSS
SZVREF
SZVSSREF
R20
P20
ZVREF
ZVSSREF
IDE_PDA2
IDE_PDA1
IDE_PDA0
IDECSA1#
IDECSA0#
T12
V12
IDE_PDCS3#
IDE_PDCS1#
ICHRDYB
IDREQB
IIRQB
CBLIDB
W17
Y17
T16
U17
IDE_SIORDY
IDE_SDDREQ
IDE_IRQ15
IIORB#
IIOWB#
IDACKB#
T14
W16
V16
IDE_SDIOR#
IDE_SDIOW#
IDE_SDDACK#
Y18
T15
IDE_SDA2
IDE_SDA1
V17
U16
W18
IDE_SDCS3#
IDE_SDCS1#
IDA0
IDA1
IDA2
IDA3
IDA4
IDA5
IDA6
IDA7
IDA8
IDA9
IDA10
IDA11
IDA12
IDA13
IDA14
IDA15
U10
V9
W8
T9
Y7
V7
Y6
Y5
W6
U8
W7
V8
U9
Y8
T10
W9
IDE_PDD0
IDE_PDD1
IDE_PDD2
IDE_PDD3
IDE_PDD4
IDE_PDD5
IDE_PDD6
IDE_PDD7
IDE_PDD8
IDE_PDD9
IDE_PDD10
IDE_PDD11
IDE_PDD12
IDE_PDD13
IDE_PDD14
IDE_PDD15
IDB0
IDB1
IDB2
IDB3
IDB4
IDB5
IDB6
IDB7
IDB8
IDB9
IDB10
IDB11
IDB12
IDB13
IDB14
IDB15
Y16
V15
U14
W14
V13
T13
Y13
Y12
W12
W13
U13
Y14
V14
W15
Y15
U15
IDE_SDD0
IDE_SDD1
IDE_SDD2
IDE_SDD3
IDE_SDD4
IDE_SDD5
IDE_SDD6
IDE_SDD7
IDE_SDD8
IDE_SDD9
IDE_SDD10
IDE_SDD11
IDE_SDD12
IDE_SDD13
IDE_SDD14
IDE_SDD15
IDSAB0
IDECSB1#
IDECSB0#
1
2
3
4
5
IDE_PIORDY 18
IDE_PDDREQ 18
IDE_IRQ14 18
TP23
IDE_PDA2 18
IDE_PDA1 18
IDE_PDA0 18
IDE_PDCS3# 18
IDE_PDCS1# 18
SD[0..7]
IDE_SDIOR# 18
IDE_SDIOW# 18
IDE_SDDACK# 18
IDE_SDA2 18
IDE_SDA1 18
IDE_SDA0 18
IDE_SDCS3# 18
IDE_SDCS1# 18
22
22
22,24
22
22
22
22
22
SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
22
22
22
22
22
22
22
22
IDE_PDD[0..15]
SA12
SA13
SA14
SA15
SA8
SA9
SA10
SA11
IDE_PDD[0..15] 18
22
22
22
22
1
2 8.2K0603
CLKRUN#
R138
1
2 8.2K0603
PCI_REQ1#
SD4
SD5
SD6
SD7
SD0
SD1
SD2
SD3
RP5151
2
3
4
RP5131
2
3
4
8 10K*4 1206
7
6
5
8 10K*4 1206
7
6
5
SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
RP25 1
2
3
4
RP5 1
2
3
4
8 10K*4 1206
7
6
5
8 10K*4 1206
7
6
5
SA12
SA13
SA14
SA15
SA8
SA9
SA10
SA11
RP6
1
2
3
4
1
2
3
4
8 10K*4 1206
7
6
5
8 10K*4 1206
7
6
5
RP5181
2
3
4
8 10K*4 1206
7
6
5
RP7
SA16
SA17
SA18
SA19
SA16
SA17
SA18
SA19
IRQ1
IRQ12
P_INIT#
MEMR#
IRQ1
IRQ12
P_INIT#
MEMR#
R115
1
2 0/NA0603
1
2
3
4
5
10
9
8
7
6 R855 1
4.7K*8
IDE_SDD[0..15]
ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15
2
2
R142 56
SVSSZCMP
2
+3VS
1
0603
2
+3VS
L535
SZ1XAVDD
1
1
1206
SZVSSREF
JL22
1
SZ4XAVSS
1
JL24
2
SZ1XAVSS
1
IDEAVSS
2
JP_NET20
JP_NET20
JP_NET20
JP_NET20
JP_NET20
1
2
2
C205
0.01U
0603
2
C802
10U
1206
10V
1
1
1
2
C804
0.1U
0603
50V
JL23
2
B
AGP_PIPE#
VDDQ
1
2
3
4
5
10
9
8
7
6
AGP_REQ#
AGP_WBF#
AGP_DEVSEL#
AGP_RBF#
AGP_REQ# 6,10
AGP_WBF# 6,10
AGP_DEVSEL# 6,10
AGP_RBF# 6,10
1206
RP519
2
120Z/100M
2012
C204
0.1U
0603
50V
JL26
1
2
JL25
2
22,24
22,24
22,24
21,22
+1.8VS
L37
IDEAVDD
2
120Z/100M
2012
1
C803
0.01U
0603
2
C790
0.1U
0603
50V
2
2
C791
0.01U
0603
1
120Z/100M
2012
1
1
C780
10U
1206
10V
2
2
1
1
1
2
2
1
2
SZCMP_P
C165
0.1U
0603
50V
MCCS#
IOR#
IOW#
P_STB#
RP511
1
C164
0.01U
0603
1
1
C785
0.1U
0603D
50V
120Z/100M
2012
MCCS#
IOR#
IOW#
P_STB#
0/NA0603
2
AGP BUS PULL UP/DOWN RESISTORS
L533
SZ4XAVDD
2
C
IDE_SDD[0..15] 18
1
2
1
CLKRUN# 16,19,22,23
FOR 645DX ONLY
+1.8VS
L531
SZCMP_N
1
1206
R167
8.2K*8
0603
2
PCI_REQ3#
PCI_REQ4#
PCI_INTD#
PCI_REQ0#
RP26
22,24
22,24
21,22
22
SZVREF
R752
150
0603D
1%
1206
VDDQ
SVDDZCMP
19,23
19,23
19,23
19,23
+3VS
22,24
ZAD[0..15]
C784
0.1U
0603D
50V
PCI_IRDY#
PCI_SERR#
PCI_PERR#
PCI_TRDY#
SD[0..7]
6,10 AGP_PIPE#
R140 56
1
PCI_IRDY#
PCI_SERR#
PCI_PERR#
PCI_TRDY#
ISA BUS PULL UP RESISTERS
IDE_SIORDY 18
IDE_SDDREQ 18
IDE_IRQ15 18
TP22
+1.8VS
R753
150
0603D
1%
D
1206
10
9
8
7
6
8.2K*8
IDE_PDIOR# 18
IDE_PDIOW# 18
IDE_PDDACK# 18
1
SIS961
BGA335_36
B
ZAD[0..15]
PCI_INTA#
PCI_INTC#
PCI_REQ2#
PCI_INTB#
PCI_GNT0#
PCI_GNT1#
PCI_GNT2#
PCI_GNT3#
10
9
8
7
6
8.2K*8
RP512
1
T11
U11
W11
IDSAB2
IDSAB1
PCICLK
PCIRST#
ZCLK1
7
1
2
3
4
5
J5
J4
H2
H1
J3
K4
J2
J1
K5
K2
L3
K1
L1
L4
L5
L2
N5
P2
P3
P4
R2
R3
R1
T1
P5
T2
U1
U2
T3
R5
U3
V1
PCI_FRAME#
PCI_IRDY#
PCI_TRDY#
PCI_STOP#
Y2
C3
PCI_LOCK#
PCI_DEVSEL#
PCI_STOP#
PCI_FRAME#
IDEAVDD
IDEAVSS
IDEAVDD
IDEAVSS
IDSAA2
IDSAA1
IDSAA0
M18
M19
M17
M16
M20
L16
L20
L18
K18
J20
K17
K16
H20
J18
H19
H18
ZCLK1
7
7
F1
F2
E1
H5
F3
CLK_SBPCI
PCIRST#
13
CLK_SBPCI
7,9,10,18,19,22,23 PCIRST#
13
PCI_REQ4#
PCI_REQ3#
PCI_REQ2#
PCI_REQ1#
PCI_REQ0#
ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15
19
PCI_REQ3#
PCI_REQ2#
8.2K*8/NA
RP520
AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0
U515A
23
23
10
9
8
7
6
C178
10U
1206
10V
6,10,16 AGP_STOP#
6,10 AGP_TRDY#
6 AGP_SERR#
AGP_STOP#
AGP_TRDY#
AGP_SERR#
1
2
3
4
8
7
6
5
8.2K*4
1206
6,10
6,10
6,10
6,10
6
6,10
AGP_FRAME#
AGP_IRDY#
AGP_ADSTB1
AGP_ADSTB0
AGP_SBSTB
AGP_PAR
AGP_FRAME#
AGP_IRDY#
AGP_ADSTB1
AGP_ADSTB0
AGP_SBSTB
AGP_PAR
R749
R148
R146
R149
R137
R744
AGP_ADSTB0#
AGP_ADSTB1#
AGP_SBSTB#
R150 1
R147 1
R139 1
1
1
1
1
1
1
2
2
2
2
2
2
8.2K0603
8.2K0603
8.2K0603
8.2K0603
8.2K0603
8.2K0603
Check!
A
6,10 AGP_ADSTB0#
6,10 AGP_ADSTB1#
6 AGP_SBSTB#
2 8.2K
2 8.2K
2 8.2K
0603
0603
0603
A
Title
SIS962 (1/3)
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
15
of
32
1
2
3
4
5
6
7
SIS962(2/3)
8
Need very close to 962
OSC25MHI
17
OSC25MHO
OSC25MHO
R117
1
2
10M/NA
0603
X2
U515B
X507
32.768KHZ
CM200
LFRAME#
LDRQ#
SIRQ
RTC_X1
R732
10M
0603D
C2
RTC_X2
D2
2
2
20P
0603
7,24
PWROK
AC97_SYNC
BATOK
PWROK
R826
100K
0603 +VCC_RTC
C1
RTCVDD
E4
RTCVSS
2 22
0603
A2
D5
AC97_SDOUT
LAN_MTXD2
MIITXD3
B4
LAN_MTXD3
MIIRXCLK
A7
LAN_MRXC
MIIRXDV
C7
LAN_MRXDV
MIIRXER
C8
LAN_MRXER
MIIRXD0
D8
LAN_MRXD0
MIIRXD1
A5
LAN_MRXD1
MIIRXD2
B5
LAN_MRXD2
MIIRXD3
A4
LAN_MRXD3
D6
Y1
AC_RESET#
AC_BIT_CLK
R132
2 0
0603D
1
13
REFCLK1
17,18 SB_SPKR
24 SIS_PWRBTN#
12
28
ACPILED
AGP_STOP#
6,10,15 AGP_STOP#
1
A
RLS4148
TP19
4
CPUPERF#
CPUPERF#
1
A
GATEM#
TP17
MIIMDIO
E7
R111 1
33
2
0603
LAN_DATAIO
B9
B8
MIIAVDD
MIIAVSS
R764 1
GPIO2
A14
B14
D14
PWRBTN#
PME#
PSON#
GPIO3
T6
EXTSMI#
GPIO4
W1
CLKRUN#
A3
A15
AUXOK
ACPILED
GPIO5
U5
MPCI_PD
GPIO6
U4
SPK_OFF
GPIO7
C4
K D508 E5
GPIO14
GPIO8
C14
WAKE_UP#
E13
GPIO15
GPIO9
E6
SCI#
GPIO10
B3
CRT_IN#
GPIO17
GPIO11
F5
GPIO18
GPIO12
D4
B15
TP513
2
3
OUT
VDD
4
2
GND
E/D
1
25MHZ/NA
OSC_TXC30CO
C706
0.01U/NA
0603D
LAN_COL 20
LAN_CRS 20
RSMRST#
LAN_DCLK 20
+3V
LAN_DATAIO 20
D6
K
GPIO16
SPDIFOUT
2 0/NA
0603
SB_THRM#
R0A-->R01
1
LAN_DCLK
GPIO13
1
2
22/NA
0603D
LAN_MRXD3 20
2
0603
T4
K
A16
RB551-30
D13
OSC501
C112
47P/NA
0603
LAN_MRXD2 20
R706 1
33
GPIO1
D507
1
LAN_MRXD1 20
C5
B1
GMUXSEL
GPIO11
LAN_MRXD0 20
MIIMDC
OSCI
ENTEST
SPK
DPRSLPVR
DPRSLPVR
R106
LAN_MRXER 20
LAN_CRS
MPCIACT#
AUXOK
ACPILED
LAN_MRXDV 20
LAN_COL
T8
SIS_PWRBTN#
PME#
PSON#
+3V
LAN_MRXC 20
E9
V2
W3
G5
V3
Need very close to 962
LAN_MTXD3 20
B7
GPIO0
REFCLK1
ENTEST
SB_SPKR
LAN_MTXD2 20
B
A
RLS4148
1
C809
10P/NA
0603
LAN_MTXD1 20
MIICOL
MIIAVDD
MIIAVSS
AC_SDOUT
AC_SYNC
LAN_MTXD0 20
MIICRS
AC_SDIN0
AC_SDIN1
1
C6
2
GPIO20
GPIO19
W2
T5
AC97_RST#
18,20,23 AC97_RST#
AC97_BITCLK
18,20,23 AC97_BITCLK
2
2
C193
10P/NA
0603
10%
B2
A1
AC97_SDIN
MDC_SDIN
17,18,20,23 AC97_SDOUT
1
R159 1
OSC32KHO
D3
D1
SMBDATA
SMBCLK
18
AC97_SDIN
20,23 MDC_SDIN
Close to 962 chip
18,20,23 AC97_SYNC
OSC32KHI
BATOK
PWROK
2
1
13,14 SMBDATA
13,14
SMBCLK
B
MIITXD2
4
C758
W4
U7
V6
LAN_MTXD1
A
C126
10P
0603
10%
1
2
0603
LPC_FRAME#
LPC_DRQ#
SERIRQ
LAN_MTXD0
D7
25MHZ
C128
10P
0603
10%
2
1
20P
LAD0
LAD1
LAD2
LAD3
E8
MIITXD1
LAN_MTXE 20
TP525
1
R133
1
MPCIACT# 23
AUXOK
2
1K 0603
R128
100K
0603
SB_THRM# 24
EXTSMI# 24
C132
10U
1206
10V
AUXOK
7
1
22 LPC_FRAME#
22 LPC_DRQ#
19,22
SERIRQ
C750
V5
T7
U6
W5
MIITXD0
LAN_MTXC 20
C253
10U
1206
10V
C142
0.1U
0603
50V
2
LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3
LAN_MTXE
1
0603
0603
1
0603D
MDC_SDIN
1
0603D
DPRSLPVR
2
0603
1
22
22
22
22
0603
LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3
B6
1
2
10K
10K
10K
10K
AC97_SDIN
R124 100K 2
R718 10K
2
2
2
2
R785
0
0603
APICCK
APICD0
APTCD1
MIITXEN
1
R707 100K 2
1
1
1
1
0/NA
0603
Y19
V18
W19
LAN_MTXC
2
R122
R103
R121
R112
0603
0603
1
GMUXSEL
STP_PCI#
GATEM#
CPU_STP#
2 10K
2 10K
1
1
2
1
R716
R123
REFCLK3 1
REFCLK3
2
WAKE_UP#
SCI#
13
MIITXCLK
A6
1
R784
+3V
R783
0/NA
0603
MIICLK25M
OSC25MHI
2
0603
0603
0603
2
2 10K
2 10K
2 10K
1
1
1
1
R145
R141
R131
1
SB_THRM#
EXTSMI#
MPCIACT#
1
+3VS
INIT#
A20M#
SMI#
INTR
NMI
IGNNE#
FERR#
STPCLK#
CPUSLP#
3
2
4
A8
1
A
H_INIT#
H_A20M#
H_SMI#
H_INTR
H_NMI
H_IGNNE#
H_FERR#
H_STPCLK#
SLP#
T18
P16
R17
R16
Y20
U18
T17
W20
V19
2
4
4
4
4
4
4
4
4
4
+3V
H_INIT#
H_A20M#
H_SMI#
H_INTR
H_NMI
H_IGNNE#
H_FERR#
H_STPCLK#
SLP#
CLKRUN# 15,19,22,23
MPCI_PD 23
SPK_OFF 18
TP514
1
WAKE_UP# 24
SCI#
Stop PCI Clock
24
R104
0
0603
CRT_IN# 12
CPU_STP#
CPU_STP# 13,28
SIS961
BGA335_36
C118
0.1U
0603
16V
DPSLP#
STP_PCI#
1
2
GPIO11
STP_PCI# 13
GPIO11
20
R105
0/NA
0603
4
Q9
DTC144TKA
R1
2
For 25M Oscillator
1
2
D507 can't install
at DT.
DPSLP#
2
3
1
+3VS
1
C
C
For Mobile
No need to close 962
+3VA
+3VS
RP528
PSON#
+3V
+5V
4.7K*4
1206
RLS4148
1
POWER ON
0->1
0
STR
0->1
1
STD/SOFT OFF
1->0
1
R113
10K
0603
LPC_AD1
2
S3 Resume
PME
2
3
2
7,24
S3AUXSW#
S3AUXSW#
2
Q10
DTC144TKA
R1
1
+3V
H8_SUSC 24
Q11
DTC144TKA
R1
1
R101
1M/NA
0603
C129
0.01U
0603
23
MPCI_PME#
MPCI_PME#
1
2
JP_NET10
PSON#_H8
R635
10K
0603
JL28
ENTERY
7651
BT501
DTC144TKA
Q516
24
PSON#_H8
2
2
C124
10U
1206
10V
JP_NET20
D
2
1
PME#
2
D
MIIAVSS
120Z/100M
1608
C127
0.1U
0603
50V
JL29
1
2
3
JP_NET10
R799
10K
0603
+5V
1
2
1
1
2
CARD_PME#
2
CARD_PME#
1
1
R709
4.7K
0603D
JL27
19
2
R1
PLACE CLOSE TO 962
+3V
L31
MIIAVDD
2
+3V
2
E
BAW56
H8_SUSC
1
D5
PSON#
32
SUSC# 2
2
C842
10U
1206
10V
1
2
C131
0.01U
0603
2
C136
1U
0603
R110
10K
0603
0/NA 0603
1
2
2
0603D
2
0603
4.7K
R99
3
R162
1
1
R114
10K
0603
1
1
1
1
R808
1K
0603
2
2
LPC_DRQ#
BATOK
2
R102
10K
0603
1
A
1
1
10K
C834
22U
1210
10V
C
2
2
2
C838
1U
0603
Q508
MMBT3904L
B
1
4.7K/NA 0603D
2
1
1
R809
R814
10K
0603
1
R821
15K
0603
R155
1
STATUS
1->0
1
RB751V-40
R811
47K
0603
S3AUXSW#
+5V
1
+3V
B
1
D515
LPC_AD3
LPC_AD0
SERIRQ
LPC_AD2
8
7
6
5
2
K
A
1
D514
K
2
1
2
3
4
1
R0A-->R01
Q507
MMBT3906L
E
C
1
+VCC_RTC
Title
SIS962 (2/3)
Size
Date:
1
2
3
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
4
5
6
7
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
16
8
of
32
1
2
3
4
5
6
7
8
SIS962(3/3)
R715
21
USBP0+
USBP0+
1
1
C175
0.1U
0603
50V
C173
0.1U
0603
50V
2
1
C176
0.1U
0603
50V
2
1
2
C150
1U
0603
2
2
C166
1U
0603
1
C172
1U
0603
CLOSE TO 962
1
1
+3VS
USBP0_P
2
+3V
0
A
0603D
A
U515C
USBP1+
1
2
0
0603D
2
0
C759
22P/NA
0603
5%
CLOSE TO 962
R119
23
USBP4+
USBP4+
1
USBP4_P
2
0
0603D
R120
1
USBP4_N
2
0603D
2
0
1
USBP4-
C133
22P/NA
0603
5%
2
USBP4-
1
23
C130
22P/NA
0603
5%
CLOSE TO 962
R747
18
USBP5+
USBP5+
1
USBP5_P
2
0
C
21
21
21
21
21
21
21
21
21
21
0603D
R743
1
USBP5_N
2
0603D
2
0
1
USBP5-
C774
22P/NA
0603
5%
2
USBP5-
1
18
C777
22P/NA
0603
5%
1394_CTL1
1394_D0
1394_D1
1394_D2
1394_D5
1394_D3
SCLK_1394
1394_D4
1394_D6
1394_D7
1394_CTL1
1394_D0
1394_D1
1394_D2
1394_D5
1394_D3
SCLK_1394
1394_D4
1394_D6
1394_D7
+1.8VS
VDDZ0
VDDZ1
VDDZ2
VDDZ3
VDDZ4
VDDZ5
VDDZ6
G15
J15
J17
L15
L17
N15
P17
+1.8VS
VTT0
VTT1
P15
R15
+VCC_CORE
NC10
NC11
NC12
NC13
NC14
NC15
NC16
NC17
NC18
NC19
NC20
NC21
NC22
NC23
NC24
NC25
NC26
NC27
NC28
NC29
NC30
NC31
NC32
NC33
NC34
NC35
NC36
NC37
NC38
NC39
A19
C16
E17
C19
D20
F20
A20
C17
D17
C20
E20
F19
F16
B16
C15
A18
A17
B20
B19
B11
D11
A11
E10
D9
B10
A10
A9
C9
C10
D10
2
+3VS
LREQ
IVDD_AUX
USBVDD
LINKON
GPIO22_EEDI
GPIO21_EESK
LPS
IVDD_AUX
USBVDD
GPIO24_EECS
GPIO23_EEDO
USBVSS
USBREF
OSC12MHI
USBPVSS
USBPVDD
OSC12MHO
USBREFAVDD
USBVSS
21
LINKON
21
LPS
21
1
2
+1.8V
C162
0.1U
0603
50V
+3V
LREQ
C158
0.1U
0603
50V
C159
0.1U
0603
50V
C155
0.1U
0603
50V
1
NC0
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
C753
22P/NA
0603
5%
PVDDZ
K15
1
C11
A12
B12
C12
A13
D12
E11
E12
B13
C13
USBP1_N
2
1
1
2
USBP1-
1
USBP1-
+3V
2
VSSZ0
VSSZ1
VSSZ2
VSSZ3
VSSZ4
VSSZ5
VSSZ6
VSSZ7
VSSZ8
VSSZ9
R733
21
F13
F8
1
J13
J19
K12
K13
L12
L13
L19
M12
M13
P19
0603D
PVDD_AUX0
PVDD_AUX1
C160
0.1U
0603
50V
C141
0.1U
0603
50V
2
21
USBP1_P
+3VS
C163
0.1U
0603
50V
2
VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
R729
USBP1+
J6
N6
R12
R8
C171
0.1U
0603
50V
1
H10
H11
H12
H13
H8
H9
J10
J11
J12
J8
J9
K10
K11
K8
K9
L10
L11
L8
L9
M10
M11
M8
M9
N10
N11
N12
N13
N8
N9
CLOSE TO 962
B
PVDD0
PVDD1
PVDD2
PVDD3
C169
0.1U
0603
50V
+3V
2
USBVSS0
USBVSS1
F10
F11
F14
F15
F7
1
B17
E19
USBVSS
OVDD_AUX0
OVDD_AUX1
OVDD_AUX2
OVDD_AUX3
OVDD_AUX4
2
USBVDD0
USBVDD1
1
D16
F17
1
USBVDD
+1.8VS
2
23 USB_OC#4
21 USB_OC#1
23 USB_OC#3
C174
0.1U
0603
50V
PLACE UNDER 962 SOLDER SIDE
1
C769
22P/NA
0603
5%
2
OC0#
OC1#
OC2#
OC3#
OC4#
OC5#
1
G20
J16
H17
G17
H16
G16
+3VS
2
1
C772
22P/NA
0603
5%
2
2
1
21 USB_OC#0
OVDD0
OVDD1
OVDD2
OVDD3
OVDD4
OVDD5
OVDD6
OVDD7
2
USB_OC#0
USB_OC#2
USB_OC#4
USB_OC#1
USB_OC#3
USB_OC#5
0603D
C179
0.1U
0603
50V
C140
0.1U
0603
50V
B
+VCC_CORE
C149
0.1U
0603
50V
1
USBP3_N
2
0
1
1
2
USBP3-
+1.8V
H6
K6
M6
P6
R11
R13
R7
R9
1
USBP3-
F12
F9
2
23
IVDD_AUX0
IVDD_AUX1
C161
0.1U
0603
50V
1
R741
2
0603D
C144
1U
0603
1
USBP3_P
2
0
+1.8VS
2
1
UV0+
UV0UV1+
UV1UV2+
UV2UV3+
UV3UV4+
UV4UV5+
UV5-
G6
H15
L6
M15
R10
R14
R6
1
USBP3+
B18
C18
E14
D15
E16
E15
D18
D19
E18
F18
G18
G19
IVDD0
IVDD1
IVDD2
IVDD3
IVDD4
IVDD5
IVDD6
2
USBP3+
+1.8VS
USBCLK48M
1
R737
V4
USBP0_P
USBP0_N
USBP2_P
USBP2_N
USBP4_P
USBP4_N
USBP1_P
USBP1_N
USBP3_P
USBP3_N
USBP5_P
USBP5_N
2
CLOSE TO 962
USBCLK_SB
C184
0.1U
0603
50V
C170
0.1U
0603
50V
USB UNUSED PORTS
+3V
R129
1
USB_OC#4
2
+3V
10K
0603
1394_CTL0
1
USBCLK_SB
C151
0.1U
0603
50V
R705
10K_NA
0603
1394_CTL0 21
R717
10K_NA
0603
R127 1
R130 1
USBP2_P
USBP2_N
2 15K
2 15K
C
IPB_OUT0
IPB_OUT1
OSC25MHO
2
13
23
10U
2
C721
22P/NA
0603
5%
1
2
C728
22P/NA
0603
5%
2
0603D
1
C152
0
2
USBP0_N
2
2
1
1
USBP0-
2
USBP0-
1
21
1
R720
+3V
OSC25MHO 16
SIS961
BGA335_36
R125 1
2 10K
USB_OC#5
R153 1
2 10K
USB_OC#2
Hardware Strap
+3V
+3V
L29
1
USB_OC#2
R126 1
2 10K/NA
USB_OC#5
1
2
SB_SPKR 16,18
2
JP_NET20
USBVSS
C743
1U
0603
2
8
7
6
5
12MHZ
C719
20P
0603
5%
C725
20P
0603
5%
1
Default
Notes
disable
enable
0
Internal Pull-down
AC97_SDOUT (Trap mode)
ROM
Reserved
0
Internal Pull-down
USB_OC4# (South bridge debug mode)
enable
disable
1
IPB_OUT0(MuTIOL clock PLL)
enable
disable
0
Internal Pull-down
IPB_OUT1(MuTIOL operation mode select)
Full-swing
0
Internal Pull-down
AC97_SYNC (PCICLK PLL)
enable
0
Internal Pull-down
Partial-swing
disable
D
0603
2
432
0603
1%
JL33
1
VCC
NC1
NC0
GND
NM93C46
R851 SO8
4.7K/NA
2 USBREF
2
JP_NET20
CS
SK
DI
DO
1
1
2
3
4
1
0
SB_SPKR (LPC addr mapping)
2
R135
1
3
2
4
+3V
1
2
C157
10U
1206
10V
1
2
C148
1U
0603
USBVSS
JL32
U514
GPIO24_EECS
GPIO21_EESK
GPIO22_EEDI
GPIO23_EEDO
1
120Z/100M
2012
1
C147
0.1U
0603
50V
Symbol
X506
R726
0
0603
R727
4.7K
0603
2
2
1
C125
10U
1206
10V
2
C135
0.1U
0603
50V
2
2
1
1
120Z/100M
2012
1
1
2
+3V
L36
USBVDD
2
1
2 10K/NA
2
10M/NA
0603
2
JP_NET20
+1.8V
1
C134
0.01U
0603
R134 1
AC97_SDOUT 16,18,20,23
+3V
1
2
L33
D
SB_SPKR
R721
1
+3V
JL31
JP_NET20
IVDD_AUX
AC97_SDOUT
2 10K/NA
OSC12MHO
EEPROM FOR LAN/IEEE1394
2
C120
10U
1206
10V
1
1
2 10K/NA
R774 1
OSC12MHI
1
C739
0.1U
0603
50V
2
2
C740
0.01U
0603
JL30
USBPVSS
FOR USB 2.0 USED
2
1
1
C114
10U
1206
10V
2
C729
0.1U
0603
50V
1
0
0603
2
2
2
USBREFAVDD
2
120Z/100M
2012
1
1
1
C722
0.01U
0603
R769 1
R723
1
USBPVDD
+3V
SHOULD BE 433 1%
Title
SIS962 (3/3)
Size
Document
Number
Date: Wednesday, August 28, 2002
1
2
3
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
4
5
6
7
Rev
01
8640
Sheet
17
8
of
32
A
B
IDE INTERFACE
CHANGE TO 0 ohm
2
2
Terminating resistors should be place close to South Bridge
J16
1
1
R702
10K
0603
R731
4.7K
0603
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15
U4
22
R725
+3VS
D4
JL504
SHORT-SMT4
1
PCIRST#
SDD7
SDD6
SDD5
SDD4
SDD3
SDD2
SDD1
SDD0
+5VS
16
15
14
13
12
11
10
9
SDD0
SDD15
SDD1
SDD14
SDD13
SDD2
SDD11
SDD3
SIORDY
IRQ15
SDDREQ
24
CD_LED#
SDDREQ
SDIOW#
SDIOR#
SIORDY
SDDACK#
IRQ15
SDA1
SDA0
SDCS1#
CD_LED#
CD_LED#
RPX8
2
R174
10K
0603
R197
10K
0603
1
1
2
3
4
5
6
7
8
R198
4.7K
0603
2
IDE_SDD0
IDE_SDD15
IDE_SDD1
IDE_SDD14
IDE_SDD13
IDE_SDD2
IDE_SDD11
IDE_SDD3
R196
4.7K
0603
2
SDD12
SDD6
SDD9
SDD10
SDD5
SDD4
SDD7
SDD8
1
16
15
14
13
12
11
10
9
1
1
2
3
4
5
6
7
8
1
RPX8
IDE_SDD12
IDE_SDD6
IDE_SDD9
IDE_SDD10
IDE_SDD5
IDE_SDD4
IDE_SDD7
IDE_SDD8
RP5240*8
DVMAIN
C246
0.1U/NA
0603
50V
2
RP5250*8
SIORDY
SDDREQ
IRQ15
SDDACK#
SDIOR#
SDIOW#
SDA0
SDA1
SDA2
SDCS3#
SDCS1#
2
IDE_SDD[0..15]
0
0
0
0
0
0
IRRX
IRTX
IRRX
IRTX
2010 1%
R524
C530
0.1U
0603
50V
11
GND1
0_DFS
0603
2
HSDL-3600
SHORT-SMT4
+5VS
C95
0.1U
0603
50V
IR Mode Select
C93
4.7U
1206
16V
IRMODE0
C94
0.1U
0603
50V
2
15 IDE_SDD[0..15]
0603
0603
0603
0603
0603
0603
8 0*4
7 1206
6
5
0603
2 0
2
2
2
2
2
2
C544
10U_NA
1206
16V
22
R515 2.7 22
2
FIRSEL
RX Function
TX Function
HI
IRMODE1
LOW
X
Shutdown
Shutdown
LOW
LOW
LOW
LOW
HI
LOW
SIR
2/3 Distance Power
HI
HI
LOW
SIR
1/3 Distance Power
LOW
LOW
HI
MIR/FIR
Full Distance Power
LOW
HI
HI
MIR/FIR
2/3 Distance Power
HI
HI
HI
MIR/FIR
1/3 Distance Power
Full Distance Power
BTB CONNECTOR
1
1
R786 1
R787 1
R164 1
R788 1
R165 1
R789 1
RP5231
2
3
4
R773 1
1
1
PDA2
PDCS3#
2
1
1
2
470
0603
EC10QS04/NA
Trminating resistors should be place close to South Bridge
IDE_SIORDY
IDE_SDDREQ
IDE_IRQ15
IDE_SDDACK#
IDE_SDIOR#
IDE_SDIOW#
IDE_SDA0
IDE_SDA1
IDE_SDA2
IDE_SDCS3#
IDE_SDCS1#
VCC3_IR
2
VCC
AGND
FIR_SEL
MD0
MD1
NC
GND
RXD
TXD
LEDA
GND_FIR
R793
10K
0603
15 IDE_SIORDY
15 IDE_SDDREQ
15
IDE_IRQ15
15 IDE_SDDACK#
15 IDE_SDIOR#
15 IDE_SDIOW#
15
IDE_SDA0
15
IDE_SDA1
15
IDE_SDA2
15 IDE_SDCS3#
15 IDE_SDCS1#
FIRSEL
FIRSEL
1
2
3
4
5
6
7
8
9
10
2
1
FIR
VCC3_IR
JL503
A
RP5260*8 RPX8
FIR Module
Close to IDE Connector
K
PDD15
PDD1
PDD13
PDD0
PDD14
PDD12
PDD2
PDD11
16
15
14
13
12
11
10
9
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
MA/22PX2/ST
C16840-X44XX
2
1
2
3
4
5
6
7
8
24 IDE_LED#
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
1
IDE_PDD15
IDE_PDD1
IDE_PDD13
IDE_PDD0
IDE_PDD14
IDE_PDD12
IDE_PDD2
IDE_PDD11
16 0*8
15
14
13
12
11
10
9
2
RP5271
2
3
4
5
6
7
8
PDD4
PDD9
PDD10
PDD3
PDD5
PDD6
PDD8
PDD7
PDDREQ
PDIOW#
PDIOR#
PIORDY
PDDACK#
IRQ14
PDA1
PDA0
PDCS1#
IDE_LED#
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
1
RPX8
IDE_PDD4
IDE_PDD9
IDE_PDD10
IDE_PDD3
IDE_PDD5
IDE_PDD6
IDE_PDD8
IDE_PDD7
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
2
IDE_PDD[0..15]
15 IDE_PDD[0..15]
PCIRST#
PDD7
PDD6
PDD5
PDD4
PDD3
R708
4.7K
PDD2
0603
PDD1
PDD0
1
+5VS
2
7,9,10,15,19,22,23 PCIRST#
1
PDDREQ
PIORDY
IRQ14
PDDACK#
PDIOR#
PDIOW#
PDA0
PDA1
PDA2
PDCS3#
PDCS1#
2
0
0
0
0
0
0
2
2
2
2
2
2
2
1
0603
0603
0603
0603
0603
0603
8 0*4
7 1206
6
5
0603
2 0
1
1
1
1
1
1
1
2
3
4
R794 1
Primary EIDE Connector
R792
R791
R790
R795
R168
R796
RP9
1
IDE_PDDREQ
IDE_PIORDY
IDE_IRQ14
IDE_PDDACK#
IDE_PDIOR#
IDE_PDIOW#
IDE_PDA0
IDE_PDA1
IDE_PDA2
IDE_PDCS3#
IDE_PDCS1#
2
15 IDE_PDDREQ
15 IDE_PIORDY
15
IDE_IRQ14
15 IDE_PDDACK#
15 IDE_PDIOR#
15 IDE_PDIOW#
15
IDE_PDA0
15
IDE_PDA1
15
IDE_PDA2
15 IDE_PDCS3#
15 IDE_PDCS1#
16,20,23 AC97_RST#
16,17,20,23 AC97_SDOUT
16 AC97_SDIN
16,20,23 AC97_SYNC
16,20,23 AC97_BITCLK
13 14.318MHZ_AUDIO
17 USBP5+
17 USBP524 T_CLK
24 T_DATA
AC97_RST#
AC97_SDOUT
AC97_SDIN
AC97_SYNC
AC97_BITCLK
14.318MHZ_AUDIO
USBP5+
USBP5T_CLK
T_DATA
+5VS
PJ1
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15
SDA2
SDCS3#
KO0
KI2
KI3
SB_SPKR
CARDSPK#
MODEM_SPK
SPK_OFF
MONO_OUT
COVER_SW#
1
+5VA
KO0
24
KI2
KI3
24
24
SB_SPKR 16,17
CARDSPK# 19,23
MODEM_SPK 20,23
SPK_OFF 16
MINI_CARDSPK# 23
MONO_OUT 20
COVER_SW# 24
+12VS +3VS +3V
+5V
HDR/MA/1.27MM/H9.5
SPEED
S10X-0102-70
Title
IDE INTERFACE & PULL UPs
Size
Date:
A
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
B
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
18
of
32
5
4
2
1
PCMCIA CONTROLLER & CARDBUS SCOKET
CBVCC3
0603
D
VCCEN#0
VCCEN#1
VPPEN0
VPPEN1
2
SIGNAL
+3VS
PCI_LOCK#
SERIRQ
2
0603
1
2
108
111
110
109
107
105
101
104
133
123
106
132
103
136
119
143
84
100
131
117
75
137
134
135
CFRAME#
CIRDY#
CTRDY#
CDEVSEL#
CSTOP#
CPAR
CPERR#
CSERR#
CREQ#
CGNT#
CINT#
CBLOCK#
CCLKRUN#
CRST#
R2_D2
R2_D14
R2_A18
CVS1
CVS2
CCD#1
CCD#2
CAUDIO
CSTSCHG
CC/BE3#
CC/BE2#
CC/BE1#
CC/BE0#
125
112
99
88
CCBE#3
CCBE#2
CCBE#1
CCBE#0
1
2
1
2
1
2
1
1
2
2
2
1
1
2
+3V
+3V
CARD_VCC
CARD_VCC
CARD_VCC
CARD_VCC
+3V
+3V
CARD_VCC
CARD_VCC
CARD_VCC
CARD_VCC
CARD_VCC
CARD_VCC
CARD_VCC
CARD_VCC
PCI1410 HAVE INTEGRATED ALL PULL UP RES ABOVE
VCCA
2
1
C
C217
0.1U
0603
50V
J19
CCLK
2
R172
C207
10P/NA
0603
10%
CAD9
R173
1 0
2
CAD11
0603
CAD12
R176
C215
10P/NA
0603
10%
1 0
2
CAD14
CCBE#1
CPAR
CPERR#
CGNT#
CINT#
0603
C209
10P/NA
0603 VPPA
10%
R182
10K
0603
1
1
2
1
1 0
CAD0
CAD1
CAD3
CAD5
CAD7
CCBE#0
1
AUX_VCC
CCLK
CFRAME#
CIRDY#
CTRDY#
CDEVSEL#
CSTOP#
CPAR
CPERR#
CSERR#
CREQ#
CGNT#
CINT#
CBLOCK#
CCLKRUN#
CRST#
R2_D2
R2_D14
R2_A18
CVS1
CVS2
CCD1#
CCD2#
CAUDIO
CSTSCHG
CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0
VCCA
VCCA
C248
0.1U
0603
50V
C199
10P/NA
0603
10%
R187
47K
0603
CCLKRUN#
R188
PCI1410A
PQFP144_0.5MM
2
1
2
73
74
71
72
63
14
66
86
102
122
138
6
22
42
58
78
94
114
130
+3VS
144
142
141
140
139
129
128
127
124
121
120
118
116
115
113
98
96
97
93
95
92
91
89
87
85
82
83
80
81
77
79
76
1
MF6
MF5
MF4
MF3
MF2
MF1
MF0
CLKRUN#
CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0
VOLT
1 0
2
CCLK
CIRDY#
CCBE#2
CAD18
CAD20
CAD21
CAD22
CAD23
CAD24
CAD25
CAD26
CAD27
CAD29
R2_D2
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
0603
GND1
GND2
C233
10P/NA
0603
10%
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
CCD#1
CAD2
CAD4
CAD6
R2_D14
CAD8
CAD10
CVS1
CAD13
CAD15
CAD16
R2_A18
CBLOCK#
CSTOP#
CDEVSEL#
C191
270P
0603
10%
VPPA
CTRDY#
CFRAME#
CAD17
CAD19
CVS2
CRST#
CSERR#
CREQ#
CCBE#3
CAUDIO
CSTSCHG
CAD28
CAD30
CAD31
CCD#2
B
GND3
GND4
2
R186 1 0
PCI_INTB#
69
68
67
65
64
61
60
R0A-->R01
15 PCI_LOCK#
16,22
SERIRQ
15
RI_OUT#/PME#
SUSPEND#
SPKR_OUT#
C237
10U
1206
10V
2
1 C252
2
0
0603
15,16,22,23 CLKRUN#
B
59
70
62
CARD_PME#
CARD_PME#
C236
0.1U
0603
50V
2
16
C218
0.1U
0603
50V
1
18,23 CARDSPK#
2 10K
0603
IDSEL
PCI_CLK
DEVSEL#
FRAME#
IRDY#
TRDY#
STOP#
PAR
PERR#
SERR#
REQ#
GNT#
RST#
C232
0.1U
0603
50V
1
R178 1
13
21
32
28
29
31
33
36
34
35
1
2
20
C235
0.1U
0603
50V
2
+3VS
PCICLK_CARD
PCI_DEVSEL#
PCI_FRAME#
PCI_IRDY#
PCI_TRDY#
PCI_STOP#
PCI_PAR
PCI_PERR#
PCI_SERR#
PCI_REQ0#
PCI_GNT0#
PCIRST#
0603
13 PCICLK_CARD
15,23 PCI_DEVSEL#
15,23 PCI_FRAME#
15,23 PCI_IRDY#
15,23 PCI_TRDY#
15,23 PCI_STOP#
15,23
PCI_PAR
15,23 PCI_PERR#
15,23 PCI_SERR#
15 PCI_REQ0#
15
PCI_GNT0#
7,9,10,15,18,22,23 PCIRST#
C210
0.1U
0603
50V
2
2
C/BE3#
C/BE2#
C/BE1#
C/BE0#
SKT_VCC0
SKT_VCC1
2
R189 1 100
12
27
37
48
C208
0.1U
0603
50V
1
PCI_AD20
PCI_C/BE#3
PCI_C/BE#2
PCI_C/BE#1
PCI_C/BE#0
PCI_C/BE#3
PCI_C/BE#2
PCI_C/BE#1
PCI_C/BE#0
C239
0.1U
0603
50V
C219
0.1U
0603
50V
90
126
GND0
GND1
GND2
GND3
GND4
GND5
GND6
GND7
15,23
15,23
15,23
15,23
AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0
U12
C238
0.1U
0603
50V
2
C
3
4
5
7
8
9
10
11
15
16
17
19
23
24
25
26
38
39
40
41
43
45
46
47
49
51
52
53
54
55
56
57
VCCD0#/VCC5#/SDAT
VCCD1#/VCC3#/SCLK
VPPD0/VPP_PGM/SLAT
VPPD1/VPP_VCC
PCI_AD31
PCI_AD30
PCI_AD29
PCI_AD28
PCI_AD27
PCI_AD26
PCI_AD25
PCI_AD24
PCI_AD23
PCI_AD22
PCI_AD21
PCI_AD20
PCI_AD19
PCI_AD18
PCI_AD17
PCI_AD16
PCI_AD15
PCI_AD14
PCI_AD13
PCI_AD12
PCI_AD11
PCI_AD10
PCI_AD9
PCI_AD8
PCI_AD7
PCI_AD6
PCI_AD5
PCI_AD4
PCI_AD3
PCI_AD2
PCI_AD1
PCI_AD0
CORE_VCC0
CORE_VCC1
CORE_VCC2
CORE_VCC3
CORE_VCC4
CORE_VCC5
PCI_AD[0..31]
PCI_VCC0
PCI_VCC1
PCI_VCC2
PCI_VCC3
18
30
44
50
2
VCCA
1
1
0603
15,23 PCI_AD[0..31]
CCD#1
CCD#2
CBLOCK#
CSTOP#
CDEVSEL#
CTRDY#
CVS1
CVS2
CRST#
CSERR#
CPERR#
CINT#
CIRDY#
CREQ#
CSTSCHG#
CAUDIO
CBVCC3
2
2
R179 1 0/NA
PC CARD PULL UP
1
R180 1 0
7,9,10,15,18,22,23 PCIRST#
2
2
REQ0#/GNT0#
R184
0
0603
2
R185
0/NA
0603
PCI_INTB#
1
1
AD20
+3VS
1
+3V
Card Bus Socket
PCI1410A
D
3
C234
270P
0603
10%
0.635/H7.9/68P
CL640-1009-9
HIROSE
2
R854
10K
0603
RI# 3
24 RI#
1
Q518
DTC144WK
1
C822 0.1U
2
CARD_RI#
50V 0603
U11
VCCA
+3VS
VPPEN0
VPPEN1
VPPA
+12VS
SSOP16
TPS2211
1
Close to TPS2211
1
C212
4.7U/NA
1206
16V
C196
0.1U
0603
50V
2
C202
0.1U
0603
50V
2
C211
0.1U
0603
50V
2
2
C214
0.1U
0603
50V
1
A
1
C206
0.1U
0603
50V
16
15
14
13
12
11
10
9
1
1
C197
0.1U
0603
50V
2
1
1
C190
0.1U
0603
50V
2
A
C195
0.1U
0603
50V
2
2
1
Close to TPS2211
SHDN
VDDP0
VDDP1
AVCCA
AVCCB
AVCCC
AVPP
12V
2
+5VS
VCCD0
VCCD1
3.3VA
3.3VB
5VA
5VB
GND
OC
1
+3VS
1
2
3
4
5
6
7
8
2
VCCEN#0
VCCEN#1
C194
4.7U/NA
1206
16V
Title
PCI4410 & 1394 PHY
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
19
of
32
5
4
3
2
1
LAN AND MDC
RJ45
J4
1
2
3
4
5
6
7
8
PJ7
PJRXPJ4
PJRX+
PJTXPJTX+
D
GND1
GND2
CHOKE_PLP3216S_BLM
PLP3216S/NA
REFOUT
REFIN
ICS1893AF
SSOP48
2
5
11
17
21
23
25
36
2
1.5K
0603
NC0
NC1
NC2
NC3
12
13
1
1
1
1
2
2
2
2
PJ4
LF_H41S
SOX16
PJ7
2
R512 R514
75
75
0603 0603
PLP3216S/NA
CHOKE_PLP3216S_BLM
120Z/100M
1608
16
C674
27P
0603D
5%
JS504 1
2
SHORT-SMT4
JS510 1
2
SHORT-SMT4
+3V
2
C627
0.1U
0603D
50V
C626
0.1U
0603D
50V
1
1
C676
0.1U
0603D
50V
C673
0.1U
0603D
50V
2
2
C624
0.1U
0603D
50V
2
120Z/100M
2012
LAN_GND
1
SHORT-SMT4
2
2
JS511 1
+3V_LAN
L526
1
1
1
2
1
2
GND_45
GPIO11
2
25MHZ
TXC7X5
274012500401
2
C675
LAN_GND 27P
0603D
5%
R697 0/NA 0603
1
2 GPIO11
1
1
3
2
4
C649
1U
0603
10K
0603
C
SHORT-SMT4
2
2
1
1
2
2
1
LAN_CT
LAN_GND
X505
R513 R511
75
75
0603 0603
C514
1000P
1808
3KV
10%
LAN_GND LAN_GND
JS501
R665
1
C27
0.1U
0603
50V
1
L513
L517
R624
1.5K
0603
1%
2
4
2
1
1
1
4
5
PJTX+
PJTX-
2
R698
1
16
15
14
2
46
47
2
+3V_LAN
R551
61.9
0603
1%
1
R622
2K
0603
RX+
RXC
RX-
2
100TCSR
10TCSR
RD+
RDC
RD-
1
16
15
1
2
3
1
RESETN
100TCSR
10TCSR
VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
C
R550
61.9
0603
1%
R623
12.1k
0603
1%
0603
0603
2
2
PJRX+
PJRX-
2
22
LAN_CT
11
10
9
1
MDC
MDIO
COL
CRS
10
TX+
CMT
TX-
1
27
26
43
44
TP_CT
TXDTXD+
TD+
TDC
TD-
2
0603
0603
13
12
R535 1 0
R536 1
0
2
2 22
2 22
TP_TXN
TP_TXP
+3V_LAN
1
R690 1
R691 1
0603
TXD+
TXD-
19
18
6
7
8
LAN_GND
RXINRXIN+
TP_RXN
TP_RXP
0603
0603
2
2
4
2 22
10K*4
1206
R544 1 0
R545 1
0
1
LAN_DCLK
LAN_DATAIO
LAN_COL
LAN_CRS
16 LAN_DCLK
16 LAN_DATAIO
16 LAN_COL
16 LAN_CRS
R687 1
9
U5
RXIN+
RXIN-
3
TXEN
TXCLK
LAN_MTXE
LAN_MTXC
16 LAN_MTXE
16 LAN_MTXC
10/100
as short as possible
2
38
37
0603
R625
56
0603
1%
2
TXD0
TXD1
TXD2
TXD3
2 22
R626
56
0603
1%
S/W/W/S=12/6/6/12 mils
1
39
40
41
42
R688 1
LAN_MTXD0
LAN_MTXD1
LAN_MTXD2
LAN_MTXD3
LAN_MTXD0
LAN_MTXD1
LAN_MTXD2
LAN_MTXD3
㆓組㆗間須絕緣 , EX: GND SHIELDING
1
2
3
4
GND_45
L512
2
RXDV
RXER
RXCLK
8
7
6
5
1
32
35
34
1
4
3
6
8
2
LAN_MRXDV
LAN_MRXER
LAN_MRXC
P0AC
P2LI
P1CL
P3TD
P4RD
2
7
14
20
24
33
45
48
RXD0
RXD1
RXD2
RXD3
1
16
16
16
16
31
30
29
28
1
16 LAN_MRXDV
16 LAN_MRXER
16 LAN_MRXC
LAN_MRXD0
LAN_MRXD1
LAN_MRXD2
LAN_MRXD3
㆓組各自平行走線等長
RP508
2
LAN_MRXD0
LAN_MRXD1
LAN_MRXD2
LAN_MRXD3
LAN_GND
1
16
16
16
16
Layout Note:
+3V_LAN
10K
0603
VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
U508
2
3
2
R621
1
2
C625
0.1U
0603
50V
PHY ADDRESS = 00001
D
GND1
GND2
8P/H11.6
ALLTOP
C10025-108XX
JO511 JO509 JO507 JO506
JO510 JO508
1
+3V_LAN
1
1
CLOSE TO ICS1839
1
2
3
4
5
6
7
8
C678
0.1U
0603D
50V
LAN_GND
B
B
1
2
1
0805
22
2 0603
AC97_BITCLK
2
J502
1
2
3
4
AC97_BITCLK 16,18,23
MA/4PX1/1.25MM
HIROSE
DF13-4P-1.25H
2
C850
10P/NA
0603
MDC SCREW HOLE
1
2
3
4
L42
S1
Protector
50UH
1808A
JO2
1
MTG18
ID2.8/OD5.0
1
MDC HARDWARE STRAP
HIGH
LOW
MTG19
ID2.8/OD5.0
A
PIN 16
AUDIO CODEC ON MOTHER BD
F3
MDC_GND1
1
MDC_GND2
SHORT-SMT3
JO27
1
2
R166 1
0/NA
2
RJ11
J17
C907
1000P
1808
3KV
10%
1
2
3
4
5
6
2
1
2
1
2
3
4
5
6
GND1
GND2
CHOKE_WLT04020201
2
R819 1
AC97_SYNC 16,18,23
MDC_SDIN 16,23
1
2 0603
2 0603
1
22
22
1
R817 1
R818 1
AC97_SYNC
MDC_SDIN
1
CLOSE TO MDC
FM/0.8MM/H2.4
C104A-030F030P28
QTC
2
R171 1
0/NA
2
C156
1U
0603
R816 4.7K
1
2
0603
2
1
CLOSE TO MDC
MODEM_SPK 18,23
C848
1U
0603
5
AC97_SDOUT
AC97_RST#
16,17,18,23 AC97_SDOUT
16,18,23 AC97_RST#
+5V
R815
0603
MODEM_SPK
0_NA 2
1
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
2
+3V
Modem Dougther Board
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
3
+3V
J505
MONO_OUT
18 MONO_OUT
C167
1000P
1808
3KV
10%
GND1
GND2
6P/H11.5
ALLTOP
C10117-106XX
JS3
0805
1
MINISMDC110
2
SHORT-SMT4
SHORT-SMT3
GND_HOLE
A
AUDIO CODEC ON DAUGHTER BOARD
Title
LAN PHY ( ICS1893 )& MDC
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002Sheet
1
20
of
32
4
3
IEEE1394a/USB 2.0/PARALLEL PORT
2
1
2
1
CHOKE_PLP3216S_BLM
PLP3216S/NA
1
1
1
1
R9
47K
0603
C11
1000P
0603
GND_USB
2
2
RT9701-CBL
SOT25
1
1
1
1
1
2
JO503 JO501 JO504 JO502
R504
0
0603
2
2
2
1
R152
2
1
4.7K/NA
DIRECT
R672
1
2
10K 0603
USBP1-
1
2
SHORT-SMT4
USB 2.0
2
1
1394CAS_GND
J7
CHOKE_PLP3216S_BLM
PLP3216S/NA
L505
1
2
220
0603
R666
1
2
10K
0603
LPS
R95
2
1
4.7K/NA
R517
15K/NA
0603
C240
0.1U
0603D
50V
C504
47P/NA
0603
R516
15K/NA
0603
JO521
GND_USB
GND1
GND2
GND3
USB/4PX1
SUYIN
2551A-04G5T-A
331000004029
JO505 CONN_SYN2551A_4
1
2
C505
47P/NA
0603
2
1
R693
CPS
2
PDISABLE
R503
0
0603
C
1
2
3
4
GND1
GND2
GND3
2
2
1
1
USBP1+
2
USBP1+
1
17
2
RP51010*41206
1
8
2
7
3
6
4
5
1
PC[0..2] = 000 , Power Class = 0 (Without Provide Power)
1
2
3
4
1
4
C656
12P
0603
5%
17
2
USBP1-
1
2
2
1
1
2
C662
12P
0603
5%
C668
0.1U
0603
50V
1
C680
0.1U
0603D
50V
2
1
C684
0.1U
0603D
50V
2
1
C672
0.1U
0603D
50V
2
1
C623
10U
1206
10V
C6
0.1U
0603
50V
2
USB_OC#1
C9
10U
1206
10V
2
17
USB_OC#1
1
VOUT1
5
2
1
2
GND
3
3
1
F504
LPS
PC0
PC1
PC2
LINKON
1
C645
0.1U
0603D
50V
VIN1
VOUT0
3
X504
2
Close to FW803
2
2
C647
0.1U
0603D
50V
4
VIN0
+3VS
2
1
1
1
C637
0.1U
0603D
50V
2
2
2
1
1
2
1
2
C629
0.1U
0603D
50V
R10
33K
0603
U2
3
JO516
1
2
120Z/100M
2012
C639
0.1U
0603D
50V
2
1394VCC3
1394GND
C653
0.1U
0603D
50V
C12
1U
0603
2
120Z/100M
2012
2
2
1
R656
10K
0603
1394VCC3
L524
2
1
R5
0
0603
1394GND
SHORT-SMT3
+3VS
1
R944
470K
0603
24.576MHZ
2
2
4
1
2
1394GND
Trace width : 8mil , No through hole
1
1
2
1
+3VS
FW803
PQFP64_0.5MM
2
2.49K
0603
1%
JS505
1
MINISMDC110/NA
2
C
GND1
GND2
IEEE1394/4P
LINKTEK
AVR20-4XXX0X
TPBTPB+
TPATPA+
R6
0
0603
USB1VCC5
2
MINISMDC110/NA
2
R659
4
60
1
XO
2
59
1
XI
C628
220P
0603
10%
1394GND
1
PDISABLE
BTEST
LACT
PDISABLE
BTSET
1394CAS_GND
PLP3216S/NA
CHOKE_PLP3216S_BLM
L4
F503
1
+5V
1
LPS
CPS
15
19
55
GND1
GND2
L2
56
0603
R638
4.99K
0603
0.1%
1394_RESET#
1
2
3
4
R639
56
0603
C615
0.33U
0603
16V
1
2
3
4
2
16
24
1
TEST1
TEST2
28
27
R640
56
0603
1
61
R642
56
0603
TPBTPB+
TPATPA+
2
RESET
J2
L3
1
37
42
48
R641
2
7
17
62
26
57
51
TPBIAS1
TPBIAS2
TPBIAS3
IEEE1394 PHY
LPS
CPS
1
34
33
39
38
45
44
1
C/LKON
SCLK
ISO
LREQ
TPB1+
TPB1TPB2+
TPB2TPB3+
TPB3-
MINI 1394
Close to FW803
TPB1TPB1+
TPA1TPA1+
2
18
63
23
1
36
35
41
40
47
46
PLLVDD
LINKON
SCLK_1394
DIRECT
LREQ
DVDD0
DVDD1
DVDD2
DXVDD
PC0
PC1
PC2
ADVDD
50
43
31
30
20
21
22
TPA1+
TPA1TPA2+
TPA2TPA3+
TPA3-
PLLGND0
PLLGND1
LPS
PC0
PC1
PC2
29
54
49
32
53
58
17
D0
D1
D2
D3
D4
D5
D6
D7
Close to FW803
52
56
17 LREQ
5
6
8
9
10
11
12
13
DVSS0
DVSS1
DVSS2
DXVSS
17
LINKON
17 SCLK_1394
1394_D0
1394_D1
1394_D2
1394_D3
1394_D4
1394_D5
1394_D6
1394_D7
2
14
64
25
1394_D0
1394_D1
1394_D2
1394_D3
1394_D4
1394_D5
1394_D6
1394_D7
CTL0
CTL1
AGND
BGND
PGND
RGND
TGND
ADGND
17
17
17
17
17
17
17
17
3
4
BVDD
PVDD
RVDD
TVDD
U509
1394_CTL0
1394_CTL1
17 1394_CTL0
17 1394_CTL1
D
2
+3VS
1
D
2
R7
0
0603
[(TPA1+)+(TPA+)] have to the same length with [(TPA1-)+(TPA-)]
[(TPB1+)+(TPB+)] have to the same length with [(TPB1-)+(TPB-)]
[(TPA1+/-)+(TPA+/-)],[(TPB1+/-)+(TPB+/-)] :Trace width 8mil,Space m
8 il,Length < 5 Inch
1394VCC3
1
R8
0
0603
2
5
JO522
SHORT-SMT4
GND_USB
+5VS
A
1394GND
D501
BAS32L
1
USB_OC#0
17 USB_OC#0
LPD4
5
6
7
8
5
6
7
8
LPD5
LPD6
CP504
CP505
22P*4/NA 22P*4/NA
1206
1206
LPD7
U502
12
11
0*4
22
22
22
22
P_LPD4
P_LPD5
P_LPD6
P_LPD7
P_LPD4
P_LPD5
P_LPD6
P_LPD7
8
7
6
5
RP502
1
2
3
4
PP_LPD4
PP_LPD5
PP_LPD6
PP_LPD7
ACK#
13
BUSY
14
10
15
PE
9
16
SLCT
8
17
7
18
6
19
5
20
4
21
3
2
1
22
23
24
2
2
17
0*4
8
7
6
5
1
L507
17
JO1
1
USBP0-
USBP0-
1
R520
15K/NA
0603
2
SHORT-SMT4
GND_IO2
PP_ACK#
PP_BUSY
PP_PE
PP_SLCT
R521
15K/NA
0603
2
R506
0
0603
GND_USB
JO523 JO524
C507
47P/NA
0603
27
2
RP506
1
2
3
4
PIO
7536S-25G2T
SUYIN
GND_USB
2
USB/4PX1
SUYIN
2551A-04G5T-A
331000004029
CONN_SYN2551A_4
C506
47P/NA
0603
GND_IO2
GND_USB
A
1206
4
3
2
1
4
3
2
1
A
P_ACK#
P_BUSY
P_PE
P_SLCT
P_ACK#
P_BUSY
P_PE
P_SLCT
USBP0+
GND1
GND2
GND3
PLP3216S/NA
CHOKE_PLP3216S_BLM
1206
22
22
22
22
USBP0+
GND_USB
1
2
PAC128401Q
QSOP24A
C523
22P
0603
5%
R505
0
0603
GND1
GND2
GND3
2
22
23
24
4
21
3
2
1
1
14
2
15
3
16
4
17
5
18
6
19
7
20
8
21
9
22
10
23
11
24
12
25
13
1
0603
4
STB#
AFD#
LPD0
ERR#
LPD1
INIT#
LPD2
SLIN#
LPD3
1
PP_LPD3
1
4
3
2
1
0
2
1206 R527
1
2
26
1
20
2
5
C512
0.1U
0603
50V
2
19
B
1
2
3
4
1
18
6
J8
2
7
J5
PP_LPD1
PP_INIT#
PP_LPD2
PP_SLIN#
1
P_LPD3
4
3
2
1
22 P_LPD3
RP504
1
2
3
4
8
7
6
5
Parallel Port Connector
0*4
P_LPD1
P_INIT#
P_LPD2
P_SLIN#
R562
47K
0603
C574
1000P
0603
1
17
1
2
3
4
1
8
1206
22 P_LPD1
15,22 P_INIT#
22 P_LPD2
22 P_SLIN#
USB 2.0
L509
1
2
120Z/100M
2012
C520
10U
1206
10V
2
16
3
15
9
2
10
R556
33K
0603
1
PP_STB#
PP_AFD#
PP_LPD0
PP_ERR#
14
2
8
7
6
5
11
2
P_STB#
P_AFD#
P_LPD0
P_ERR#
15,22 P_STB#
22 P_AFD#
22 P_LPD0
22 P_ERR#
13
1
B
RP503
1
2
3
4
12
1
0*4
USB0VCC5
K
U501
CP503
22P*4/NA
1206
5
6
7
8
5
6
7
8
PAC128401Q
QSOP24A
GND_IO2
GND_IO2
CP506
22P*4/NA
1206
Title
IEEE 1394
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
21
of
32
A
B
SA[0..18]
SD[0..7]
15,24 SD[0..7]
13
14
15
17
18
19
20
21
2
SA18
1
+5VS
Close to EEPROM
2
1
32
C783
0.1U
0603
50V
16
O0
O1
O2
O3
O4
O5
O6
O7
Flash ROM
U513
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
VPP
VCC
VSS
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
CE#
OE#
WE#
12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30
22
24
SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA12
SA13
SA14
SA15
SA16
SA17
ROMCS#
MEMR#
31
MEMW#
SA[0..18] 15,24
STRAP OPTION
For Debug Only
XCNF2
XCNF1
XCNF0
FUNCTIONALITY
X
0
0
NO BIOS
X
0
1
NORMAL MODE , XRDY DISABLE
0
1
0
LATCH MODE ,XA12-19, XRDY ENABLE
1
1
0
LATCH MODE , GPIO 10-17 , XRDY ENABLE
0
1
1
LATCH MODE , XA12-19, XRDY DISABLE
1
1
1
LATCH MODE , GPIO 10-17 ,XRDY DISABLE
J20
COM1DCD#
COM1DSR#
COM1RXD
COM1RTS#
COM1TXD
COM1CTS#
COM1DTR#
COM1RI#
1
2
3
4
5
6
7
8
9
10
11
12
+3VS
1
2
3
4
5
6
7
8
9
10
11
12
2
BASE ADDRESS SELECT
FPC/FFC-12P/1MM/NA
ROMCS# 24
MEMR# 15
28F020-PLCC
R724
INDEX REGISTER
DATA REGISTER
MOUNTED
4EH
4FH
OPEN
2EH
2FH
+3VS
15
15
15,24
15
TP20
15,24
15,24
IOR#
IOW#
SA12
SA13
SA14
SA15
SA16
SA17
SA18
SA19
1
FAN_SPD0/1#
IOR#
IOW#
SA12
SA13
SA14
SA15
SA16
SA17
SA18
SA19
95
94
93
92
91
90
87
86
85
84
83
82
81
80
79
78
77
76
75
74
XA0/GPIO20
XA1/GPIO21
XA2/GPIO22
XA3/GPIO23
XA4/GPIO24/XSTB0#
XA5/XSTB1#/XCNF2
XA6/GPIO26/PRIQA/XSTB2#
XA7/GPIO27/PIRQB
XA8/GPIO30/PIRQC
XA9/GPIO31/MTR1#/PIRQD
XA10/GPIO32/XIORD#/MDRX
XA11/GPIO33/XIOWR#/MDTX
XA12/GPIO10/JOYABTN1/RI2#
XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2
XA14/GPIO12/JOYAY/CTS2#
XA15/GPIO13/JOYBY/SOUT2
XA16/GPIO14/JOYBX/RTS2#
XA17/GPIO15/JOYAX/SIN2
XA18/GPIO16/JOYBBTN0/DSR2#
XA19/DCD2#/JOYABTN0/GPIO17
284587393002
1
1
1
2
2
2
DCD1#
DSR1#
SIN1
RTS1#/TEST
SOUT1/XCNF0
CTS1#
DTR1#_BOUT1/BADDR
RI1#
55
56
57
58
59
60
61
62
COM1DCD#
COM1DSR#
COM1RXD
COM1RTS#
COM1TXD
COM1CTS#
COM1DTR#
COM1RI#
IRTX
IRRX1
IRRX2_IRSL0
IRSL1
IRSL3/PWUREQ#
70
69
68
67
66
IRTX
IRRX
FIRSEL
XD0/GPIO00/JOYABTN1
XD1/GPIO01/JOYBBTN1
XD2/GPIO02/JOYAY
XD3/GPIO03/JOYBY
XD4/GPIO04/JOYBX
XD5/GPIO05/JOYAX
XD6/GPIO06/JOYBBTN0
XD7/GPIO07/JOYABTN0
XWR#/XCNF1
XRD#/GPIO34/WDO#
XIOWR#/XCS1#/MTR1#/DRATE0
XIORD#/GPIO37/IRSL2/DR1#
XCS0#/DR1#/XDRY/GPIO25
13
38
64
89
PC87393
PIO/-PNF
P_SLCT
P_PE
P_BUSY
P_ACK#
P_SLIN#
P_INIT#
P_ERR#
P_AFD#
P_STB#
21
21
21
21
21
15,21
21
21
15,21
+3VS
R722
10K
0603
COM1TXD
IRTX
IRRX
FIRSEL
XCNF0
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
4
5
73
71
72
MEMW#
MEMR#
MCCS#
SD[0..7]
15,24
MEMR#
MCCS#
15
15,24
ROMCS# 24
XSTB#
3
4
7
8
13
14
17
18
D0
D1
D2
D3
D4
D5
D6
D7
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
2
5
6
9
12
15
16
19
1
11
OC
G
VCC
GND
20
10
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
15
15
15
15
15
15
15
15
+3VS
74AHC373_V
TSSOP20
R615
10K
282574373004
0603
2
R735
10K
0603
R738
10K
0603
U521
+3VS
1
R724
10K/NA
0603
XCNF1
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
ROMCS#
+3VS
18
18
18
SD0[0..7]
3
2
1
100
99
98
97
96
+3VS
1
P_SLCT
P_PE
P_BUSY
P_ACK#
P_SLIN#
P_INIT#
P_ERR#
P_AFD#
P_STB#
MEMW#
VSS0
VSS1
VSS2
VSS3
1
1
IRQ1
IRQ12
TP21
15,24
15,24
15
15
15
15
15
15
15
15
SA0
SA1
SA2
SA3
XSTB#
XCNF2
IRQ1
IRQ12
SA0
SA1
SA2
SA3
35
36
37
40
41
47
49
51
53
54
R58
10K
0603
2
DSKCHG#
HDSEL#
RDATA#
WP#
TRK0#
WGATE#
WDATA#
SETP#
DIR#
DR0#
MTR0#
INDEX#
DENSEL
DRATE0/IRSL2
SIO CLOCK : 48MHZ
PNF/XRDY
SLCT/WGATE#
PE/WDATA#
BUSY_WAIT#/MTR1#
ACK#/DR1#
SLIN#_ASTRB#/STEP#
INIT#/DIR#
ERR#/HDSEL#
AFD#_DSTRB#/DENSEL
STB#_WRITE#
P_LPD[0..7] 21
+3VS
1
CLKIN
21
22
23
24
25
26
27
28
29
30
31
32
33
34
CLK_SIO
PD0/INDEX#
PD1/TRK0#
PD2/WP#
PD3/RDATA#
PD4/DSKCHG#
PD5/MSEN0
PD6/DRATE0
PD7/MSEN1
P_LPD0
P_LPD1
P_LPD2
P_LPD3
P_LPD4
P_LPD5
P_LPD6
P_LPD7
C764
0.1U
2
20
CLKRUN#
SERIRQ
P_LPD[0..7]
52
50
48
46
45
44
43
42
1
LCLK
LRESET#
LFRAME#
LDRQ#
LPCPD#
CLKRUN#/GPIO36
SERIRQ
SMI#/GPIO35
50V
0603D
2
8
9
12
11
7
6
10
19
50V
0603D
1
CLK_SIO
CLK_LPC33
PCIRST#
LPC_FRAME#
LPC_DRQ#
50V
0603D
2
13
LAD0
LAD1
LAD2
LAD3
50V
0603D
1
15,16,19,23 CLKRUN#
16,19
SERIRQ
15
16
17
18
C778
0.1U
1
50V
0603D
2
13 CLK_LPC33
7,9,10,15,18,19,23 PCIRST#
16 LPC_FRAME#
16
LPC_DRQ#
LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3
C736
0.1U
1
LPC_AD[0..3]
2
LPC_AD[0..3]
C153
0.1U
2
U512
16
C751
0.1U
14
39
63
88
2
R730
10K
0603
VDD0
VDD1
VDD2
VDD3
1
1
+3VS
PQFP100_0.5MM
Title
SUPER I/O
Size
Document Number
Date:
Wednesday, August 28, 2002
Rev
01
8640
A
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
B
Sheet
22
of
32
5
4
3
2
1
MINI-PCI
1
+3VS
D
D
R60
10K
0603
1
U3
VIN1
VOUT1
1
5
17
USB_OC#3
USB_OC#3
C10
10U
1206
10V
2
VOUT0
2
120Z/100M
2012
C7
0.1U
0603
50V
1
4
VIN0
2
3
GND
1
C14
1U
0603
2
1
DTC144TKA
R23
33K
0603
1
MINISMDC110/NA
+3VS
2
WIRE_LED
2
USB3VCC5
2
1
1
+5V
R1
L5
F502
Q5
1
2
WIRE_LED#
WIRE_LED#
3
24
16,18,20 AC97_SYNC
16,20 MDC_SDIN
16,18,20 AC97_BITCLK
R810
R820
R192
18,19 CARDSPK#
R403
18 MINI_CARDSPK#
R0A-->R01
R44
1
+5VS
0/NA
B
2
0603
2 0603
2 0603
2
0603
2
0603
GND1
GND2
1
2
2
2
1
PCI_FRAME#
PCI_TRDY#
PCI_STOP#
1
R519
15K/NA
0603
PCI_FRAME# 15,19
PCI_TRDY# 15,19
PCI_STOP# 15,19
PCI_DEVSEL#
2
1
R507
0
0603
C508
47P/NA
0603
R518
15K/NA
0603
JO517
PCI_DEVSEL# 15,19
PCI_AD15
PCI_AD13
PCI_AD11
PCI_AD6
PCI_AD4
PCI_AD2
PCI_AD0
R177 1
0
MPCI_PD
2
0603
USB2VCC5
+3VS
AC97_SDOUT
AC97_SDOUT 16,17,18,20
AC97_RST#
R193
1
0
2
0603
+5VS
R191
10K
0603
AC97_RST# 16,18,20
MODEM_SPK 18,20
R11
33K
0603
S
D
MPCIACT#
USB_OC#4
17 USB_OC#4
L6
MPCIACT# 16
+3V
JS2
1
R13
47K
0603
C19
1000P
0603
SI2302DS
GND1
GND2
USB 2.0
Q19
J10
2
1
2
120Z/100M
2012
C13
10U
1206
10V
1
2
3
4
C8
0.1U
0603
50V
GND1
GND2
GND3
SHORT-SMT4
R194
0
0603
2
2
GND_USB
C251
0.1U
0603
50V
R509
USBP4+
USBP4+
1
2
0
0603
4
1
2
3
4
B
GND1
GND2
GND3
USB/4PX1
SUYIN
2551A-04G5T-A
331000004029
GND_USBCONN_SYN2551A_4
PIN24, 124 ARE AUX_POWER
MINI-PCI
1
L508
2
PLP3216S/NA
CHOKE_PLP3216S_BLM
AD21
PCI_INTD# \ INTC#
REQ2#/GNT2#
JO519
1
R510
0
0603
1
1
GND_USB
C511
47P/NA
0603
C510
47P/NA
0603
2
2
R523
15K/NA
0603
2
R522
15K/NA
0603
AD22
PCI_INTD# \ INTC#
REQ3#/GNT3#
JO520
2
2
USBP41
USBP4-
1
17
R0A-->R01
+3VS
+5VS
1
C258
C835
0.1U
0603
50V
1
2
A
1
2
1
C177
0.1U
0603
50V
2
1
1
C247
0.1U
0603
50V
2
2
2
1
1
2
C249
0.1U
0603
50V
C913
0.1U
0603
50V
C257
10U
1206
10V
U10
1
2
3
4
OUT0
OUT1
NR
GND
IN0
IN1
ERR
SD
ADP3301AR-5
SO8
R52 1
330K
2
0603
8
7
6
5
Close to ADP3301
1
0.01U
0603
+3VS
C250
0.1U
0603
50V
+12VS
C259
1U
0603
2
C808
0.1U
0603
50V
2
1
C814
0.1U
0603
50V
2
1
C818
22U
1210
10V
2
C256
0.1U
0603
50V
2
C901
0.1U
0603
50V
2
1
1
GND_USB
1
2
SHORT-SMT4
JO518
PCI_C/BE#0 15,19
17
A
C
GND1
GND2
GND3
GND_USB GND_USB GND_USB
PCI_AD9
PCI_C/BE#0
124P/0.8MM/H4.0
QTC
C102A-040B11-4
R0A-->R01
1
2
3
4
USB/4PX1
SUYIN
2551A-04G5T-A
331000004029
JO512 CONN_SYN2551A_4
1
2
C509
47P/NA
0603
2
1
2
USBP3+
USBP3+
GND1
GND2
GND3
1
17
PCI_PAR 15,19
2
100
0603
PLP3216S/NA
CHOKE_PLP3216S_BLM
L506
1
3
4
PCI_AD22
PCI_AD20
PCI_PAR
PCI_AD18
PCI_AD16
PCI_AD21
2
D
S
MINIPCI_RI
24 MINIPCI_RI
22
1
1
22
1
0/NA
1
0
R143
1
1
2
3
4
1
PCI_AD1 +5VS
AC97_SYNC
MDC_SDIN
AC97_BITCLK
PCI_AD28
PCI_AD26
PCI_AD24
1
PCI_AD3
J9
PCI_AD30
2
PCI_AD5
USB 2.0
2
PCI_AD8
PCI_AD7
MPCI_PME# 16
1
PCI_AD12
PCI_AD10
PCI_GNT2# 15
MPCI_PME#
2
PCI_AD14
2
0
0603
3
CLKRUN#
PCI_SERR#
PCI_PERR#
PCI_C/BE#1
15,16,19,22 CLKRUN#
15,19 PCI_SERR#
15,19 PCI_PERR#
15,19 PCI_C/BE#1
1
2
15,19 PCI_C/BE#2
15,19 PCI_IRDY#
USBP3-
USBP3-
2
PCI_AD17
PCI_C/BE#2
PCI_IRDY#
R508
17
PCIRST# 7,9,10,15,18,19,22
1
PCI_AD21
PCI_AD19
PCI_INTD# 15
PCI_GNT3# 15
2
PCI_C/BE#3
PCI_AD23
15,19 PCI_C/BE#3
0
1
C
2 0603
1
2
PCI_AD27
PCI_AD25
R190 100 0603
PCI_AD22 1
2
+5VS
R71
1
PCI_AD31
PCI_AD29
WIRE_LED
WIRE_LED#
2
15 PCI_REQ2#
1
2
1
2
0603
0/NA
R170
MINIPCI_INTD#
PCI_GNT3#
1
PCI_REQ2#
2
MINISMDC110/NA
2
CLK_MINIPCI
13 CLK_MINIPCI
1
1
PCI_REQ3#
15 PCI_REQ3#
F2
R75
0/NA
0603
2
MINIPCI_INTC#
2
0603
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
G
15 PCI_INTC#
R767 1
0
RING
TX+
TXPJ4
PJ5
LED2_YELP
LED2_YELN
RESERVED4
5V[1]
INTA#
RESERVED5
3.3VAUX[0]
RST#
3.3V[4]
GNT#
GROUND9
PME#
RESERVED6
AD[30]
3.3V[5]
AD[28]
AD[26]
AD[24]
IDSEL
GROUND10
AD[22]
AD[20]
PAR
AD[18]
AD[16]
GROUND11
FRAME#
TRDY#
STOP#
3.3V[6]
DEVSEL#
GROUND12
AD[15]
AD[13]
AD[11]
GROUND13
AD[9]
C/BE[0]#
3.3V[7]
AD[6]
AD[4]
AD[2]
AD[0]
RESERVED_WIP4[0]
RESERVED_WIP4[1]
GROUND14
M66EN
AC_SDATA_OUT
AC_CODEC_ID0#
AC_RESET#
RESERVED7
GROUND15
SYS_AUDIO_IN
SYS_AUDIO_IN_GND
AUDIO_GND2
MPCIACT#
3.3VAUX[1]
1
PCI_INTC#
TIP
RX+
RXPJ7
PJ8
LED1_GRNP
LED1_GRNN
CHSGND
INTB#
3.3V[0]
RESERVED0
GROUND0
CLK
GROUND1
REQ#
3.3V[1]
AD[31]
AD[29]
GROUND2
AD[27]
AD[25]
RESERVED1
C/BE[3]#
AD[23]
GROUND3
AD[21]
AD[19]
GROUND4
AD[17]
C/BE[2]#
IRDY#
3.3V[2]
CLKRUN#
SERR#
GROUND5
PERR#
C/BE[1]#
AD[14]
GROUND6
AD[12]
AD[10]
GROUND7
AD[8]
AD[7]
3.3V[3]
AD[5]
RESERVED2
AD[3]
5V[0]
AD[1]
GROUND8
AC_SYNC
AC_SDATA_IN
AC_BIT_CLK
AC_CODEC_ID1#
MOD_AUDIO_MON
AUDIO_GND0
SYS_AUDIO_OUT
SYS_AUDIO_OUT_GND
AUDIO_GND1
RESERVED3
VCC5VA
2
MPCI_PD
MPCI_PD
GND_USB
1
16
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
1
2
0
0603
MINI-PCI TYPE III A
R109
R22
47K
0603
C26
1000P
0603
J503
1
1
15,19 PCI_AD[0..31]
RT9701-CBL
SOT25
2
PCI_AD[0..31]
Title
MINIPCI
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
1
Sheet
23
of
32
A
B
+5VA
H8 Mode Select Table
BAV99
EASY START BUTTON CONNECTOR
2
MD0 MD1 MODE
Description
0
1
MODE1
Expended mode with On-Chip ROM disable
1
0
MODE2
Expended mode with On-Chip ROM enable
1
1
MODE3
Single-Chip mode
3
1
+5VA
D9
+5VS
H8_VDD5
L537
+5VA
+5VS
1
+5V
Close to H8-3437S
2
D511
+1.8VS
D10
RP529
1K*4
1206
2
1 JO24
2
1 JO26
15,22
FPC/1MM/24P/ST
6903-24 E&T
SD[0..7]
SD[0..7]
30
MAINPWR
15,22 IRQ1
15,22 IRQ12
R758
25,29 PWR_ON
1
2
30 LEARNING#
1
PWR_ON
2
1K
0603
C801
68P
0603
5%
CPU_FAN Control
+5VS
MAINPWR
H8_WAKE_UP#
H8_SMI#
H8_SCI
IRQ1
IRQ12
FAN0#
FAN1#
H8_PWRON
H8_PWROK
LEARNING#
KI0
KI1
KI2
KI3
KI4
KI5
KI6
KI7
2
5
6
7
8
1
1
RLS4148
12 H8_RESET#
1
+3V
FAN On
1
ADEN#
26
PSON#_H8 16
C
Q517
MMBT3904L
2 B
470
0603
2
R822
10K
0603
3
1
Q509
T_CLK
18
MINIPCI_RI 23
DTC144TKA
+5VA
2
0603
X508
2
16MHZ
C807 TXC8X4.5
68P
0603
5%
Q504
R1
2 BATT_DEAD
BATT_DEAD 26
DTC144TKA
External Keyboard/Mouse
L519
F505
C789
0.1U
0603
50V
1
+5V
2
1
2
MINISMDC110
120Z/100M
2012
C806
68P
0603
5%
C582
1000P
0603
For H8-3437S Reset
+5VA
U517
ADM809
SOT23N
RESET#
VCC
3
1
2
3
4
PS/2
J15
GND_PS2
FA503
KM_CLK
M_CLK
KM_DATA
M_DATA
8
7
6
5
1
2
3
4
5
6
1
2
3
4
5
6
120OHM/100MHZ
16
SCI#
SCI#
3
4 THERM_ADM#
1
RI#
Q512
MMBT3904L
E
DC/DC_FAN1 Control
2
18
2
B
R161
Q505
R1
E
2
+5V
Threshold : 4.38V
GND1
GND2
CP507
47PX4
1206
H8_SCI
GND1
GND2
MINI-DIN/6P
C10822-106XX
DTC144TKA
1
+5VS
T_DATA
TP25
R852
470
0603
1
+3V
GND
FAN Off
R853
470
0603
Q502
DTC144TKA
R1
19
+3V
CHARGING 27
C
FAN1#
LOW
SIS_PWRBTN# 16
H8_SISPWRBTN#
1
HI
2
Signal
C899
10U/NA
1206
10V
2
2
FAN1_SPD
1
FAN
1
2
HIROSE
3
ST/MA-3
DF13-3P-1.25V
1
K
D
2
+3V
SIS_PWRBTN#
2
RI#
2
1
2
S
A
2
1
D516
2
Q514
AO3401
G
D
S
J18
R618
470K
0603
LOW
Suspend
LED_CLK 12
LED_DATA 12
R768
1M
HI
Normal
R762
1K
0603
10K
0603
1
C906
0.1U
0603
50V
LID#
R766
G
R0A-->R01
BAT_DATA
H8_MODE0
H8_MODE1
LED_CLK
LED_DATA
FAN0_SPD
FAN1_SPD
CHARGING
KM_DATA
M_DATA
T_DATA
RI#
BATT_DEAD#
BAT_IN
1
ADEN#
PSON#_H8
KM_CLK
M_CLK
T_CLK
H8_STBY#
H8_SUSB
H8_RESET#
PQFP100_0.5MM
H8/F3437S
Close to SI2301DS
R634
10K
0603
2
+5V
C805
0.1U
50V
0603
SW_+5VA 26
PWRSW#
2
3
1 JO22
Signal
H8_SUSC 16
1
R759
10K/NA
0603
R760
10K
0603
Cover Switch
1
2
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
15,22
15,22
+3V
2
1 JO20
IOR#
IOW#
+5V
1
1 JO25
1 JO18
2
H8_KBCS#
IOR#
IOW#
H8_MCCS#
BAT_CLK
H8_SUSC
LID#
POWERBTN#
H8_THRM#
SW_+5VA
H8_SISPWRBTN#
COVER_SW# 18
1K
0603
2
1 JO23
2
2
Micro
Controller
2
4
3
2
1
2
1 JO16
C185
2.2U
16V
1206
1
1 JO21
1 JO14
2
27
25
15,22
2
1 JO19
2
2
CHG_I
BLADJ
SA2
2
2
1 JO12
1
BLADJ
SA2
R1
2
1 JO17
2
2
R163
1
1 JO15
1 JO10
SDA_THRM 4
3
1 JO13
2
1 JO8
2
38
39
40
41
42
43
44
45
93
94
95
96
97
98
99
25
24
23
22
19
18
17
16
6
5
91
90
81
80
69
68
58
57
48
47
31
30
21
20
11
10
8
7
1
2
3
100
1
2
2
P70/AN0
P71/AN1
P72/AN2
P73/AN3
P74/AN4
P75/AN5
P76/AN6/DA0
P77/AN7/DA1
P80/HA0
P81/GA20
P82/CS1
P83/IOR
P84/IRQ2/TXD1/I
P85/IRQ4/RXD1/C
P86/IRQ5/SCK1/S
P90/IRQ2/ESC2
P91/IRQ1/EIOW
P92/IRQ0
P93/RD
P94/WR
P95/AS
P96/0
P97/WAIT/SDA
MD0
MD1
PB0/XDB0
PB1/XDB1
PB2/XDB2
PB3/XDB3
PB4/XDB4
PB5/XDB5
PB6/XDB6
PB7/XDB7
PA0/KEYIN8
PA1/KEYIN9
PA2/KEYIN10
PA3/KEYIN11
PA4/KEYIN12
PA5/KEYIN13
PA6/KEYIN14
PA7/KEYIN15
/STBY/FVPP
/NMI
/RES
XTAL
EXTAL
/RESO
1
2
1 JO11
P10/A0
P11/A1
P12/A2
P13/A3
P14/A4
P15/A5
P16/A6
P17/A7
P20/A8
P21/A9
P22/A10
P23/A11
P24/A12
P25/A13
P26/A14
P27/A15
P30/HDB0/D0
P31/HDB1/D1
P32/HDB2/D2
P33/HDB3/D3
P34/HDB4/D4
P35/HDB5/D5
P36/HDB6/D6
P37/HDB7/D7
P40/TMCI0
P41/TMO0
P42/TMRI0
P43/TMCI1/HIRQ1
P44/TMO1/HIRQ1
P45/TMRI1/HIRQ1
P46/PW0
P47/PW1
P50/TXD0
P51/RXD0
P52/SCK0
P60/KEYIN0/FTCI
P61/KEYIN1/FTOA
P62/KEYIN2/FTIA
P63/KEYIN3/FTIB
P64/KEYIN4/FTIC
P65/KEYIN5/FTID
P66/KEYIN6/IRQ6
P67/KEYIN7/IRQ7
26
26
26
26
SCL_THRM 4
1
1 JO9
1 JO6
79
78
77
76
75
74
73
72
67
66
65
64
63
62
61
60
82
83
84
85
86
87
88
89
49
50
51
52
53
54
55
56
14
13
12
26
27
28
29
32
33
34
35
BAT_T
BAT_V
BAT_C
BAT_D
2
2
2
KO0
KO1
KO2
KO3
KO4
KO5
KO6
KO7
KO8
KO9
KO10
KO11
KO12
KO13
KO14
KO15
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
C819
0.1U
0603
50V
1
1 JO7
1 JO4
2
2
2
1
2
1 JO5
C821
0.1U
0603
50V
BAT_T
BAT_V
BAT_C
BAT_D
8
7
6
5
1
1 JO3
1
2
3
4
GND_H8
2
2
Internal Keyboard
Connector
KI7
KI6
KI5
KI4
KI3
KI2
KI1
KI0
KO15
KO14
KO13
KO12
KO11
KO10
KO9
KO8
KO7
KO6
KO5
KO4
KO3
KO2
KO1
KO0
RT1
10K
1%
70
71
92
15
46
36
VCC1
VCC2
AVCC
VCCB
U519
J21
VSS1
VSS2
VSS3
VSS4
AVSS
AVREF
9
59
37
4
2
JP_BEAD_DFS
0603D_DFS
GND_H8
BAT_TEMP
BAT_VOLT
1
L538
1
Come From Battery
RP11 33*4
1206
4
3
2
1
GND_H8
2
BAV70LT1
R798
10K
0603
2
C816
0.1U
0603
50V
1
C815
0.1U
0603
50V
2
120Z/100M
1608
C800
0.1U
0603
50V
1
1
C799
0.1U
0603
50V
1
C833
0.1U
0603
50V
2
3
1
3
D510
BAV99
HDR/MA/1.27MM/H9.5
SPEED
S10X-0102-20
D509
Close to H8-3437S
1
1
1
+5VA
2
3
2
3
27
BAV99
IDE_LED# 18
CD_LED# 18
MPM_LED# 12
2
D512
BAV99
2
I_LIMIT
D8
1
1
SCROLL#
NUM#
CAP#
WIRE_LED#
I_LIMIT
1
2
12
12
12
23
3
2
4
6
8
10
12
14
16
18
20
1
D513
BAV99
2
4
6
8
10
12
14
16
18
20
3
2
1
1
3
5
7
9
11
13
15
17
19
KI1
KI2
KI3
KI4
IDE_LED#
CD_LED#
MPM_LED#
1
3
2
J14
KO1
1
KO0
3
PWRSW#
5
SCROLL#
7
NUM#
9
CAP#
11
WIRE_LED# 13
15
17
19
2
2
KO0
BAV99
18
18
1
18
KI2
KI3
2
BAV99
2
Close to SI2301DS
5
6
7
8
1
2
1
470
0603
+5VA
R763
Signal
FAN0#
HI
LOW
FAN Off
26 SW_+5VA#
SW_+5VA# 1
R761
0
0603
FAN On
+5VA
+5VA
1OE#
2OE#
2
5
6
9
10
2B1
2B2
2B3
2B4
2B5
15
16
19
20
23
VCC
GND
24
12
SN74CBTD3384
QSOP24A
H8_SMI#
H8_PWROK
H8_KBCS#
H8_THRM#
H8_MCCS#
ADEN#
H8_MODE0
H8_MODE1
+3V
1
2
3
4
5
R772
10K
0603
10
9
8
7
6
RI#
BATT_DEAD#
POWERBTN#
R160
10K
0603
47K*8
1206
RP522
KI0
KI1
KI2
KI3
1
2
3
4
5
10
9
8
7
6
KI4
KI5
KI6
KI7
Q515
7,16
S3AUXSW#
S3AUXSW#
47K*8
2
H8_SUSB
R1
BAT_CLK
R181 1
BAT_DATA
R175 1
T_CLK
T_DATA
LID#
KM_CLK
1
2
3
4
5
1206
2 10K
0603
2 10K
0603
+5VS
2
SHORT-SMT3
+5V
RP10
Close to 74CBTD3384DBQ
2
C786
0.1U
0603
50V
10
9
8
7
6
M_CLK
M_DATA
KM_DATA
Title
Micro Controller
1206
Size
Date:
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
R846
10K
0603
DTC144TKA
TP532
TP531
TP528
TP527
TP524
JS507
1
1
1
1
1
1
47K*8
A
+5V
1
2A1
2A2
2A3
2A4
2A5
1B1
1B2
1B3
1B4
1B5
2
14
17
18
21
22
1
13
2
0/NA
0603
1A1
1A2
1A3
1A4
1A5
1
FAN0#
1
1
1
1
1
1
S
D
TP533
TP530
TP529
TP526
TP523
3
4
7
8
11
2
FAN
1
2
HIROSE
3
ST/MA-3
DF13-3P-1.25V
K
J24
D
S
D3
RLS4148
R0A-->R01
Q12
AO3401
G
G
A
+5VS
EXTSMI#
PWROK
ROMCS#
SB_THRM#
MCCS#
Level Shift
U516
16 EXTSMI#
7,16 PWROK
22 ROMCS#
16 SB_THRM#
15,22 MCCS#
+5VA
R765
10K
0603
R0A-->R01
DC/DC_FAN2 Control
DTC144TKA
RP521
2
J501
Q506
B
Q503
MMBT3904L
C255
0.1U/NA
0603
50V
1
2
2
1
1
H8_WAKE_UP#
3
R831
4 THRMTRIP#
1
1
FAN On
3
2
FAN Off
1
FAN0#
WAKE_UP#
16 WAKE_UP#
E
LOW
C
HI
FAN0_SPD
External Pull Up/Down
R1
C
2
Q510
MMBT3904L
1
FAN0#
2
SHORT-SMT3
GND_PS2
2
2
R169
470
0603
E
Signal
JL1
1
B
D
K
1
2
3
Q519
AO3401
G
+3V
R856
470K
0603
1
FAN
1
2
3
S
2
D517
RLS4148
DF13A-3P-1.25H
HIROSE
MA/3P/RA
2
1
A
R0A-->R01
C32
0.1U
0603
50V
D
S
R546
10K
0603
G
1
1
+VCC_CORE
B
Document
Number
Rev
01
8640
Wednesday, August 28, 2002Sheet
24
of
32
2
1
PL16
K
PD516
RLZ3.6B/NA
MLL34B
1
PC57
1000P
0603
24
12
12
12
12
+2.5V_DDR
BLADJ
AC_POWER#
BATT_LED#
BATT_G#
BATT_R#
J13
1
2
3
4
5
6
7
8
9
10
11
12
FA502
8
7
6
5
1
2
3
4
120OHM/100MHZ
1
2
GND1
GND2
1
+5VAS
L516 120Z/100M
2012
PC56
0.01U
0603D
2
2
+5VS
A
16
15
14
13
12
11
10
9
17
CM8500
TSSOP16_GND
1
PC73
0.1U
0805
25V
+80-20%
PC560
2
10U
1206
10V
PC62
0.1U
0805
25V
+80-20%1
1
2
ENPBLT
C549
0.1U
0603
50V
1
2
3
4
5
6
7
8
9
10
11
12
D
GND1
GND2
MA/12PX1/ST
SPEED
Y17-101-0001
2
2
9,11
2
VCC2
PVDD2
VL2
PGND2
AGND4
VFB
VCCQ
AGND3
GND
+3VS
1
VCC1
PVDD1
VL1
PGND1
AGND1
SD
VIN/2
AGND2
2
120Z/100M
2012
PU20
1
2
3
4
5
6
7
8
2
1
2
2
PR54
1K PC78
06031000P
0603
1
PC55
1000P
0603
PC77
0.1U
0805
25V
+80-20%
1
PC60
10U
1206
10V
1
1
2
1
2
PR42
1K
0603
1%
1
PR41
200K
0603
1%
PC76
0.1U
0805
25V
+80-20%
1
2
2
D
2
0606'02 change
C539
0.1U
0603
50V
2
1
2
1
PC82
+ 330U
7343
4V
PC79
0.1U
0603
50V
1
1
3.3UH
C540
0.1U/NA
50V
0603
L514 120Z/100M 1608
1
2
L515 120Z/100M 2012
1
2
L511 120Z/100M 1608
1
2
Note : BKL_VMAIN is Power Trace
PL11
2
PR51
5.1
0603
2
2
1
+1.25V
Inverter
3
1
4
1
5
PU8
SI4800DY
SO8
3
2
1
+1.8VS
D/VMAIN_P1
2
1
2
PC24
1000P
0603
C
2
1
PC21
0.1U
0603
1
PR12
1M
0603
PC18
10U
1206
PL13
C
1
2
4
1
2
G
S
D
8
7
6
5
+12VS
1
2
PC64
1000P
0603
2
PC59
0.1U
0603
50V
PC68
10U
1812
25V
20%
5
6
7
8
2
1
120Z/100M
2012
1
DVMAIN
PU18
SI4892DY
SO8
D
+5VA
G
1
2
2
S
D
1
1
2
1
2
1
1
1
PC576
1000P/NA
0603
A
2
1
PR574
10K
0603
1%
PC506
0.1U
0603
2
A
2
1
2
2
PC504
10U
1206
2
PC505
1000P
0603
2
2
2
PR502
1M
0603
1
1
PC565
10U
1206
1
PC568
0.1U
0603
50V
2
2
1
2
3
PC71
+ 220U
7343
4V
2
1
1
PC566
+ 220U
7343
4V
S
VDD_MEM2.5
2
2
G
4
3
2
1
G
PC573
1000P/NA
0603
1
PR571
21.5K
0603
1%
D
0606'02 change
S
2
PR572
0.008
2512
1%
4.7UH
PC31
0.1U
0603
4
2 2.5V_2 1
1
5
6
7
8
PU21
FDS6680S
SO8
8
7
6
5
D
1
2
3
1
PU501
SI4800DY
SO8
PL15
P2
PC23
10U
1206
PR18
9.09K
0603
1%
+2.5V_DDR
0606'02 change
2
2
1
S
PQ2
SCK431LCSK-.5
SOT23N
S
PC588
0.1U
0603
50V
SW2.5
BG2.5
PC34
470P
0603
4
1000P
0603
PC590
0.022U
0603
25V
10%
2
1
1
PC572
10U
1812
25V
20%
2
PR25
10K
0603
PC75
1000P
0603
1
PU513
SI4892DY
SO8
PC74
0.1U
0603
50V
PR16
4.7K
0603
3
D
G
TG2.5
2
B
+1.8V
G
1
+5V
LTC3707
QSOP28
2
3
2
1
4
PC582
0.1U
0603
50V
PC90
4.7U
1206
16V
2
2
8
7
6
5
D
1
1
2
PU10
SI4800DY
SO8
1
PC92
0.1U
0603
50V
PC49
0.1U
0603
PC552
1000P
0603
2
2
4
1
G
1
2
1
2
1
1
2
2
5
6
7
8
1
1
2
3
2
2
1
3
PC39
10U
1206
PC554
1000P/NA
0603
1
1
PC53
10U
1210
10V
2
PC589
0606'02 change
FB1.5V
2
PR40
1M
0603
1
2
INTVCC1
1
2
2
1
PD518
BAW56
1
PC553
1000P/NA
0603
BST1.8
2
1%
PC555
0.1U
0603
50V
+1.5VS
1
1
1
2
2
PC54
+ 220U
7343
2.5V
20%
PR552
10K
0603
1%
1
470P
1
PC87 220P
1
PC587
220P
PGOOD
TG1
SW1
BOOST1
VIN
BG1
EXTVCC
INTVCC
PGND
BG2
BOOST2
SW2
TG2
RUN/SS2
PC556
+ 220U
7343
2.5V
20%
PR553
9.09K
0603
1%
PR580
0
0603
2
2
15K
PC91
2
RUN/SS1
SENSE1+
SENSE1VOSENSE1
FREQSET
STBYMD
FCB
ITH1
SGND
3.3VOUT
ITH2
VOSENSE2
SENSE2SENSE2+
SENSE3+
SENSE3-
3
2
1
1
1
SENSE3+
SENSE3FB1.5V
1%
1
PR586
PR559
.01
2512
1%
S
2
2
2
4
5
6
7
8
15K
1
1.5V_2 1
1
470P
PR584
2
PR5810
1
28
27
26
25
24
23
22
21
20
19
18
17
16
15
2
PC89
1
PU22
1
2
3
4
5
6
7
8
9
10
11
12
13
14
G
1
0.01U
1
PR589
0/NA
0603
0603
PC583
1000P
0603
1
2.7K
PC586
2
PU511
FDS6680S
SO8
D
BG1.5
2
2
PC81
0.1U
0603
50V
1
1
1
2
PR587
0
0603
2
PR55
B
PC88
4.7U
1206
16V
2
PR585
11K
0603 1%
1
2
PC584
0.1U
0603
50V
1
1
PC80
0.022U
25V
10%
1
2
2
1M
INTVCC1
1
S
PQ522
2N7002
SOT23_FET
8
7
6
5
PL12
P1
SW1.5
4.7UH
2
1
0606'02 change
PR56
0
0603
2
PR582
S
1
2
3
D
2
D
S
G
1K 0603 1%
D PQ523
S 2N7002
SOT23_FET
D
PWR_ON
2
S
24,29
PU509
SI4800DY
SO8
0606'02 change
1
G
4
+5V
PR588
2
1
TG1.5
PR583
100K
0603
JS1
1
2
SHORT-SMT4
FB2.5V
Title
DC TO DC (LTC3707)
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
411673400003
Wednesday, August 28, 2002
1
Sheet
25
of
32
A
B
C
D
E
+5VAS
PU516
SI4835DY
SO8
PR44
100K
0603
LMV393M
SSOP8
G
PR567
4
8
7
6
5
BATT_DEAD 24
PU510A
3
2
1
+5VA
4
ADEN#
1
PQ518
2N7002
D
S
G
3
S
ADEN#
2
2
2
24
PR565
4
D
1
PR554
100K
0603
PC557
0.1U
0603
50V
2
1M
0603
100K
0603
1
1
PR45
100K
0603
1%
1
2
BATT_DEAD
1
DVMAIN
S
-
D
+
2
PU515
SI4835DY
SO8
3
2
1
G
3
4
4
DBATT
DBATT
2
2
8
PR556
750K
0603
1%
S
8
7
6
5
1
1
DVMAIN
D
DVMAIN
PR563
1
ADINP
2
PQ517
2
PL511
DTC144WK
169K
0603
1%
1
2
2012
1
120Z/100M
PL509
1
1
PF1
TR/SFT-10A
FUSE_2917
1
2
1M
0603
1%
2
J23
2012
BAT_V
BAT_T
BAT_T
2
PR603
1
0
PD10
24
BAT_D
1
PC591
0.01U
0603
BAV99/NA
PC84
0.1U
0603
50V
2
PC592
1000P
0603
3
1
2
2
PC85
1000P
0603
2
PR57
20K
0603
1%
1
LMV393M
SSOP8
PC558
0.1U
0603
50V
2
3
1
27
24
R/A-7P/2.5MM/NA
SUYIN
250005MR07G100ZU
1
LI_OVP
PU510B
4
1
LI_OVP
7
0
2
BAV99/NA
2
-
PR602
1
BAT_C
3
1
6
BAT_C
PR58
4.99K
0603
1%
2
+
24
+5VAS
2
PR47
32.4K
0603
1%
3
SCK431LCSK-5
SOT23N
PR555
402K
0603
1%
2
PQ516
1
2
5
1
2
1
2
2
8
1
PR579
100K
0603
1%
PC580
0.1U
0603
50V
1
2
2
PR49
12.1k
0603
1%
PR46
4.7K
0603
1%
1
1
1
3
2
BAT_V
1
24
1
2
3
4
5
6
7
+5VA
2
+5VAS
PL510
1
PC577
0.1U
0603
50V
2
PR576
301K
0603
1%
2
2012
120Z/100M
1
PR48
1
2
120Z/100M
PD9
PU503
MTD20N03HDL
SOT369NA
DBATT
Don't Stuff
+1.5VS
4
3
+1.2VS
S
3
1
PR61
20K_NA
0603
1%
1
PQ5
SCK431LCSK-.5_NA
SOT23N
2
PC43
0.1U_NA
0603
1
+5VA
2
PR59
22_NA
0603
1%
PC32
10U_NA
1206
1
2
1
PC19
470P_NA
0603
2
2
2
PR60
10K_NA
0603
1
1
2
G
+5V
PR527
10K
0603
1%
2
1
+1.25V_REF
1
D
S
D
2
3
1
PQ507
SCK431LCSK-.5
SOT23N
PC94
47P/NA
0603
2
1
+2.5V_DDR
2
PC531
0.1U
0603
2
1
PC593
+ 220U
7343
4V
2
PC93
47P/NA
0603
PQ4
SI2302DS_NA
1
PR525
22
0603
1%
PC530
10U
1206
2
1
PC537
470P
0603
2
PR526
10K
0603
2
2
1
1
2
+12VS
1
1
1
DBATT
2
PR528
470K
0603
+5VAS
2
+5VA
SW_+5VA#
PQ515
SW_+5VA# 24
3
PQ508
S
D
S
DTC144WK
D
2
1
SI2301DS
2
1
PR43
100K
0603
G
+2.8VS
G
+3VS
PD514
A
K
ADEN#
ADEN#
PU7
24
BAS32L
1
1
PC17
0.01U
0603
2
PC15
1U
0603
10V
2
2
+5V
1
1
1
2
PC536
0.1U
0603
50V
3
+5VA
PC16
4.7U
0805
+80-20%
PU17
PC58
2.2U
0805C
5
4
NC0
NC1
AME8800AEEV
SOT25
S
UDZS5.6B
SOD323
D
1
PC535
0.1U
0603
50V
2
1
PD505
LP2951-02BM
SO8
5V Resume Power
PQ506
1
PC61
4.7U/NA
0805
+80-20%
K
6
1
5
4
2
2
PC532
0.1U
50V
0603
5VTAP
OUT
ERRGND
PC534
10U
1206
10V
SI2301DS
A
1
SW_+5VA
IN
SENSE
F/B
SHUTDN
PC66
1U
0603
D
S
8
2
7
3
+3VA
1
2
3
2
PU504
2
SHORT-SMT4
SW_+5VA
G
24
G
2
JS503
1
2
DTC144TKA
GND0
VIN
VOUT
1
ALWAYS
PQ3
R1
1
4
1
BYP
+3V
2
5
AME8801CEEV
SOT25
1
PL504
120Z/100M
1608
OUT
1
+5VA
VIN
GND
EN
2
1
2
3
Title
BATTERY CONNECTOR & 3V,5V-RESUME POWER
Size
Date:
A
B
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
C
D
Document
Number
Rev
01
411673400003
Wednesday, August 28, 2002
E
Sheet
26
of
32
A
B
C
D
E
4
4
ADINP
ADINP
ADINP_2
ADINP_2
ADINP_1
1
2
A
A
2
PR561
10
PD513
10
PD515
EC31QS03L
K
K
2
E
PC562
1U
25V
0805
PL507
PL508
120Z/100M
2012
120Z/100M
2012
2
1
2
1
2
.035
2512
5%
SO8
FDS7764A
PR573
1
0603
S
PR578
1
0603
PC86
22U
1812
25V
20%
PC581
0.1U
0603
50V
DBATT
4
P4
1
PC585
22U
1812
25V
20%
8
7
6
5
2
4
EC31QS03L
1
PD517
PU514
3
2
1
4
10uH
3
2
1
S
2
D
1
D
G
QSOP28
8
7
6
5
PR577
2
G
1
1
PL14
P3
PU517
SI4835DY
SO8
PC83
10U
1206
25V
PR568
470K
0603
1
2
1
2
+3VA
2
1
2
3
MAX1772
S
2
1K
PC70
0.1U
1
2
PU23
SI4835DY
SO8
G
PR570
G
4
D
1
0.01U
28
27
26
25
24
23
22
21
20
19
18
17
16
15
2
PC570
2
IINP
CSSP
CSSN
BST
DHI
LX
DL0V
DL0
PGND
CSIP
CSIN
BATT
CELLS
VCTL
S
PC571
1
2
DCIN
LD0
CLS
REF
CCS
CCI
CCV
GND0
GND1
ICHG
ACIN
ACOK
REFIN
ICTL
3
PU19
SI4410DY
SO8
1
2
1
0.1U
50V
0603
PU512
1
2
3
4
5
6
7
8
9
10
11
12
13
14
D
2
0.01U
1
10V
1
1U
PC567
10U
2
PC559
22U
1812
25V
20%
1
1U
0603
2
PC69
0603 1
PC65
0.1U
0603
50V
K
47K
0603
1
5
6
7
8
1
PC564
2
BAW56 PD8
1
3
2
A
1
PR53
1K
0603
1%
PC67
1
2
3
CHARGING
PR52
33
1
24
PQ521
2N7002/NA
2
3
D
S
G
5
6
7
8
2
PR600
0
0603
PR560
2
1
2
LI_OVP
S
26
1
D
2
1
2
PC563
1U
25V
0805
2
PL10 120Z/100M 2012
1
2
1
1
1
1
PR564
100K
0603
1%
PR562
33K/NA
0603
120Z/100M 2012
1
2
2
C
1
PL9
0
0603
1
PR601
2
PQ519
MMBT3906L/NA
B
EC31QS03L
1
2
10K/NA
PR50
12.1k
0603
1%
1
PC63
0.1U
0603
50V
PR566
2
PR558
1
PC561
10U
1206
10V
2
PR557
0
0603
1
I_LIMIT
1
24
2
ADINP_1
R813
294K
0603
1%
2
1
2
PC575
1U
0603
PR569
100K
0603
2
2
1
1
PC72
0.1U
0603
50V
2
PR575
100K
0603
1%
2
PQ520 D
2N7002 S
G
LI_OVP
LI_OVP
26
1
PC579
10U
1206
10V
2
2
1
S
PC574
0.1U
0603
50V
D
1
PC569
0.1U
0603
50V
2
CHG_I
2
24
1
2
PC578
0.1U
0603
50V
1
1
Title
DC-DC CONNECTOR ,CHARGER
Size
Date:
A
B
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
C
D
Document
Number
Rev
01
411673400003
Wednesday, August 28, 2002
E
Sheet
27
of
32
5
4
3
2
1
+5V
1
PC33
0.1U
0603
50V
PC35
4.7U
0805
+80-20%
2
2
PL505
1
1
2
PR20
0
0603
1
2
120Z/100M
2012
1
1
PC46
15U
7343
4V
+
+
PC47
15U
7343
4V
+
2
PC42
15U
7343
4V
2
+
2
PC41
15U
7343
4V
1
1
+
2
PC40
15U
7343
4V
PC48
15U
7343
4V
1
2
+
2
PC602
0.1U
0603
50V
1
1
1
2
PC601
0.1U
0603
50V
2
PC50
0.1U
0603
50V
2
PC605
0.1U
0603
50V
1
1
1
PC604
0.01U
0603
2
PC603
0.01U
0603
2
1
+ PC37
100U
25V
20%
2
+ PC38
100U
25V
20%
1
1
1
PL506
1
2
120Z/100M
2012
2
DVMAIN
D
add for
VRM9.0
2
D
PR8
1M
0603
10
2
10
2
2
24
PC551
AMPMD
23
PR33
1
15
ATTENOUT
VBIAS
22
ATTENIN
VID4
21
17
VID0
VID3
20
18
VID1
VID2
19
PC30
47P
0603
1
1
2
3
PU12
SI4362DY
SO8
4
1
G
4
D
PU506
SI4362DY
SO8
4
D
2
1
G
1
1
1
2
2
2
2
PC540
220U
7343
4V
PC541
220U/NA
7343
4V
PC26
220U
7343
4V
PC546
0.1U
50V
0603
+
+
+
+
+
JL41
S
S
S
1
2
3
PD512
EC31QS03L
JP_NET20
1
2
0
A
1
PR19
1
2
3
PC36
0.1U
0603
50V
1
2
3
PR34
10
2
SSOP36A
LTC3716
PC28
1200U
4V
2
0.7UH
HK-RM136
30%
1
G
PC25
220U
7343
4V
2
PR544
.004
2
0/NA
0/NA
0/NA
0/NA
0/NA
1
1
K
1
PL7
P6
D
S
PR32
PR31
PR30
PR28
PR22
1
5
6
7
8
5
6
7
8
PU13
SI4362DY_NA
SO8
S
5
6
7
8
1000P
2
1
S
0
2
1
16
2
PR13
237K
0603
1%
0.1U
1
TG2
SENSE2+
+VCC_CORE
PR543
.004
2
SENSE2-
14
add for
VRM9.0
add for
VRM9.0
PR27 10
1
13
20K
1%
PU505
SI4892DY
SO8
1
PR17
4
D
G
2
25
PU11
SI4892DY
SO8
4
D
G
1
SW2
PD511
EC31QS03L
2
VOS+
S
+
1
12
PC45
S
+
C
1
26
2
S
2
27
2
BG2
PR10
750K
0603
1%
1
2
3
5
6
7
8
PC550
10U
2 PD506 1206
BAW56 10V
1
3
PR549
0
+
2
PR548
add 0/NA
for
VRM9.0
BOOST2
1
+
2
28
+
1
PGND
SGND
G
2
29
G
2
2
INTVCC
4
1
0.7UH
HK-RM136
30%
1
ITH
2
D
2
EXTVCC
8
G
0
PU508
SI4362DY
SO8
4
2
FCB
30
PR21
1
2
PC543 PC542 PC539 PC547
220U/NA 220U 220U/NA 0.1U
7343
7343 7343
50V
4V
4V
4V
0603
A
7
1
D
1
2
3
31
PU15
SI4362DY
SO8
4
D
PC27
1200U
4V
5
6
7
8
32
0.1U
1
2
3
VIN
BG1
P5
change to
10K for
VRM9.0
PC29
1200U
4V
2
PR545
.004
5
6
7
8
PLLIN
33
1
PL8
1
2
3
PLLFLTR
6
34
5
6
7
8
5
SW1
BOOST1
PU16
SI4362DY_NA
SO8
S
1
2
3
EAIN
VOS-
2
PQ513
2N7002
SENSE1-
PC545
VDIFFIUT
1
D
S
D
S
G
change to
0 ohm for
VRM9.0
35
add for
VRM9.0
JP_NET20
PR546
.004
add for
VRM9.0
S
1
1
2
D
G
PQ1
2N7002
4
JL40
PU14
SI4892DY
SO8
2
11
1
15K 1%
SHORT-SMT4
D
S
TG1
1
10
PR541
delet for
VRM9.0
36
SENSE1+
4
9
2 0.022U
25V
2
1
2
delet for
VRM9.0
2
D
G
5
6
7
8
Ith
PC548
JS506
delet for
VRM9.0
G
0
1
2
3
6.8K
2
PGOOD
1
PR542
1
2
PC549
470P
RUN/SS
3
1000P
EAIN
0606'02 change
1
PU507
SI4892DY
SO8
4
D
PR9
1
1
PR536
56K
0603
1%
S
1
1
delet
for
VRM9.0
2
0.01U
2
50V 10%
2
PC44
2
D
PQ511
2N7002
PR531
1M
0603
10
1
1
PR535
10K 1%
1
2
2.7K
2
S
PQ509 PR533
2N7002
1
1
1
D
S
PR534
2
PR5370
RUN/SS
D
2
2
PC20 0.1U
1
2
PC22
D
S
G
0805
VR_PWRGD
1
change to 49.9k
for
VRM9.0
PU9
G
5 CPU_CORE_EN
1U 25V
2
K
1
1
PR7
1K
0603
PR532
1M
0603
C
PC544
1
PD510
BAT54
5
6
7
8
3
delet for
VRM9.0
5
6
7
8
PR24
1
PR29
1
2
+5VA
PR529
0
0603
PR11
20K
0603
2
2
PR23 10
+3V
B
B
4
PVID4
PVID3
PVID2
PVID1
PVID0
PVID[0..4]
PVID[0..4]
change to 0 ohm
for
VRM9.0
delet
for
VRM9.0
ITEM
SPEC
no.
1
1
2
PR15
442K
0603
1%
3
1
PQ514
2N7002
1
D
S
G
PR14
26.7K
0603
1%
1
S
1
G
3
PR530
1M
0603
Mode 3 Mobile
Patrs
LTC1709E-9(VRM9.0)
Patrs
LTC3716
PD510
NA
NA
BAT54
PR549
10K
10K
0 ohm
4
PR548
0 ohm
0 ohm
NA
5
PR537
NA
NA
0 ohm
6
22u/25Vx2 cc
22u/25V
22u/25V
7
220u/2.5V*4
220u/2.5V
220u/2.5V
8
PR536
49.9K 1%
49.9K 1%
56K 1%
PR11
0 ohm
0 ohm
20K 1%
SI4362DY
SI4362DY
0 ohm
0 ohm
NA
NA
Low side mosfetx2
NA
11
PR13
237K 1%
12
mosfet x5
NA
NA
2N7002
13
PR540
NA
NA
100K
14
PR547
NA
NA
470K
15
PR15
NA
NA
442K
16
PR26
NA
NA
100K
17
PR14
NA
NA
26.7K
18
PR530
NA
NA
1M
19
PR17
NA
NA
20K 1%
20
PR10
NA
NA
750K 1%
21
Diode
NA
NA
BAT54
2
2
A
1
BAT54
PQ510D
2N7002 S
PR540
100K
0603
PD508
A
D
D
S
G
DPRSLPVR
S
D
2
16
LTC1709EG-9(VRM9.0)
Mode 2 DT
3
10
PQ512
2N7002
Patrs
2
9
2
PR547
470K
0603
PR26
100K
0603
Vcore IC(PU24)
Mode 1 Celeron
2
1
S
13,16 CPU_STP#
D
PD509
BAT54
1
Description
Title
CPU CORE VOLTAGE (LTC3716)
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
411673400003
Wednesday, August 28, 2002
1
Sheet
28
of
32
5
4
3
2
1
SYSTEM POWER (5V 3V 12V)
PL6
DVMAIN
PR524
1
D2
2
1
2
1
10
PC528
0.1U
0603
50V
PC523
0.1U
0603
50V
D
D
PR505
0
JS512
2
1
2
1
PC507
0.1U
50V
0603
PR504
1K
0603
PR6
2
A2
1
2
2
4
1
1
6
SYNC
7
25
DL3
24
SHDN
23
TIME/ON5
V+
22
8
GND
VL
21
9
REF
PGND
20
10
SKIP
11
RESET
12
13
14
1
S
1
1
2
3
SI4892DY
SO8
PR507
0
0603
1
DL5
19
18
FB5
LX5
17
CSL5
DH5
16
CSH5
SEQ
15
PR522
0
1
2
BAW56
2
1
1
BST5
MAX1632 SSOP28A
2
PD503
3
PC522
0.1U
0603
50V
2
2
0/NA
0603
4
PU1
C
PC524
4.7U
1206
16V
1
PC517
0.1U
50V
0603
PR520
0/NA
PC512
1U
0603
PR521
0/NA
2
S
1
2
3
0606'02 change
2
K
2
5
6
7
8
1
1
4
10UH
PU2
FDS7764A
2
1
1
SO8
PC514
470P/NA
0603
10%
I5
2
PC11
150U
7243
6.3V
VDD
2
2
3
PD2
EC10QS03L
PR509
97.6K_NA
0603
1%
5
26
2
4
A
1
1
2
2
0.1U
50V
0603
PC4
0.1U
50V
0603
2
1
PC7
+ 150U
7243
6.3V
2
1
1
PC8
+ 150U
7243
6.3V
+
PC5
2
1
2
A
PC520
4.7U
1206
16V
2
PR3
.012
2512
1%
1
K
120Z/100M
2012
EC10QS03L
12OUT
LX3
2
G
1
PD504
FB3
4
G
PT1
PL4
2
3
PC521
0.1U
50V
0603
2
1
2
1
D
+5V
1
27
0606'02 change
5
6
7
8
PR511
100K/NA
0603
1%
28
DH3
BST3
PC508
10U
1210
16V
PR5080
1
PR506
D
PC509
22U
1812
25V
20%
PC513
0.1U
0603
50V
2
1
PC527
22U
1812
25V
20%
2
1
2
PD507
EC11FS2
DC2010
PL5
RUN/ON3
CSL3
K
C
2
CSH3
2
1
I4
1
PC510
0.1U
50V
0603
I3
1
2012
2
SO8
PL503 120Z/100M
2
2
2
1
1
SI4832DY
PC515
470P
0603
10%
1
2
S
1
2
3
+12V
PR512
100K
0603
1%
120Z/100M
2012
0/NA
0603
PU502
D
5
6
7
8
A
1
PR519
1
2
10UH
CDRH127-100MC
G
.015
2512
1%
PR510
37.4K
0603
1%
A5
B3
2
1
1
2
PR516
1M
0603
2
2
1
2
PU5
1
2
PC10
0.1U
0603
50V
PC9
0.1U
0603
50V
PC511
470P/NA
0603
10%
2
2
1
1
2
A
PC12
4.7U
1206
16V
PC13
+ 150U
7243
6.3V
2
PC14
+ 150U
7243
6.3V
120Z/100M
2012
EC10QS03L
1
2
1
K
1
PD3
1
2
3
PL2
1
120Z/100M
2012
PL3
S
G
PL1
1
D
5
6
7
8
+3V
PR517
1K
0603
2
4
PU6
SI4800DY
SO8
1
24,25 PWR_ON
SHORT-SMT4
1
1
A4
0603
2
2
PC525
22U
1812
25V
20%
2
1
120Z/100M
2012
1
DVMAIN
I6
B
B
A
A
Title
SYSTEM POWER
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
411673400003
Wednesday, August 28, 2002
1
Sheet
29
of
32
5
4
3
2
1
PD501
2
3
1
D
D
BAV70LT1
PD502
2
3
ALWAYS
1
BAV70LT1
ADINP
JO513
1
2
JL2
1
OPEN-SMT4
JO514
2
1
ADINP_1
2
SHORT-SMT4
OPEN-SMT4
1
2
ADINP_2
PQ503
SI4835DY
SO8
C
A
K
2
2
EC31QS03L
1
1
PD4
PR513
10K
0805
PC518
0.1U
0603
50V
PC519
1000P
0603
2
1
1
1
S
PD6
K
EC31QS03L
8
7
6
5
C
G
2012
4
PL502 120Z/100M
1
2
PR514
10K
0805
PC516
0.1U
0603
50V
A
D
3
2
1
DVMAIN
K
DVMAIN
2
PQ501
2N7002
SOT23_FET
PD5
A
EC31QS03L
PQ502
SI4835DY
SO8
470K
0603
2
47K
0603
D
S
G
PR501
100K
0603
2
SHORT-SMT4
DCP3
PR4
.1
S
2
1
1
2
2
PR503
LEARNING#
24 LEARNING#
2
1
1
D
1
1
4
PR2
470K
0603
PR1
8
7
6
5
G
2
S
D
3
2
1
L3
JL3
PR5
.1
1
K
2 L2
PD1
RLZ24D
A
120Z/100M
PC3 2012
0.1U
0603
PC6
50V
0.1U
50V
2
1
PC2
0.1U
0603
50V
2
1
1
PC503 PC502
0.1U
0.1U
0603
0603
50V
50V
2
PC1
1U
0805
25V
2
PC501
0.1U
0603
50V
1
L1 1
2
2
4
5
6
JACK-3P
PL501
6.5A/32VDC
2
1
PF501
1
DCP1
1
1
3
2
2
J1
2DC-S315-X03
PQ505
+12VS
+5VS
8
7
6
5
+3V
+3VS
+5V
PR523
470K
0603
PC529
1000P
0603
1
S
2
PC526
1000P
0603
2
PQ504
2N7002
1
D
D
S
G
2
MAINPWR
2
1
1
1
R183
10K
0603
24 MAINPWR
4
4
2
G
3
2
1
S
3
2
1
G
8
7
6
5
+5V
S
G
PR518
1M
0603
B
PU4
SI4800DY
SO8
D
PU3
SI4800DY
SO8
D
G
1
S
D
S
+12V
D
SI2303DS
B
2
PR515
1M
0603
A
A
Title
DC POWER
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
411673400003
Wednesday, August 28, 2002
1
Sheet
30
of
32
A
B
History:
REV. Layout
1.Changed FootPrint from 0603B to 0603D for easy layout.(all parts) 4/4/2002
80.Connect the net of AGP_STOP# to SIS962 pin E5 through series diode at location D30.4/24/2002
2.Change DDR socket from stand to rev at location J5 for easy layout. 4/4/2002
81.Change the net of CPUPERF# through series diode at location D31 to SIS962 pin A16.4/24/2002
3.Mirror 8P4C capacitors at location CP1,CP2,CP3,CP4,CP5,CP6 for easy layout. 4/4/2002
82.Add reserve resistor R544 to connect SUSC# and H8_SUSU for debug only. 4/24/2002
4.Mirror array bead at location FA1,FA2,FA3,FA4,FA5,FA6 for easy layout. 4/9/2002
83.Change R359 value from 10K to NA .4/24/2002
5.Creat display ID for auto detect panel. 4/10/2002
6.Change CPURST# pullup from 51ohm/NA to 51ohm at location
and must be terminated on the system board. 4/10/2002
7.Change R44 value from 200 ohm to NA. 4/11/2002
8.Change net name AGPVREF to AGP_VREF
84.Updata Hardware strap table in sheet 17 to change USB_OC#5( South bridge debug mode) disable status from Hi to Low .4/28/2002
R28 that the signal don't have on-die termination
85.Add pull up resistor for the net of ROMCS# at location R541. 4/24/2002
86.Delete the unuse parts at location R475 and TP48. 4/24/2002
connect to MAP17 pin AC30 for AGP 4X mode. 4/11/2002 by Jim
87.Delete R473 and disconnect the net of SUS_STAT# to SIO pin 7. 4/24/2002
9.Change R43 from 200ohm to 301ohm and chang R45 from 301ohm to 200ohm for 0.4*VDDQ at AGP 2X mode. 4/11/2002
88.Delete R474 and connect CLKRUN# to SIO pin6. 4/24/2002
10.Change R200 and R203 from 10K to NA tor set
89.Change the connection of MINI PCI RI from WAKE_UP# to H8 RI(pin48). 4/24/2002
default NTSC (MAP17)in TVMODE. 4/12/2002
11.Change R220 and R222 from 10K to NA for MAP17 default parallel ROM type. 4/12/2002
90.Add pull up resistor for USB_OC#5 at location R577. 4/28/2002
12.Change R209 from 10K to NA to enable AGP faster write.4/12/2002
91.Change R261 value from 33 ohm to NA .4/28/2002
13.Change R212,R213,R215,R218
91.Change pull up voltage of MPCIACT# from +3V to +3VS.4/28/2002
from 10K to NA to enable the default device of MAP17.4/12/2002
14.Mirror 8P4R resistors at location RP58 for easy layout. 4/12/2002
92.Change the connection of C567 from LAN_GND to GND for easy layout.4/28/2002
15.Update Hardware Trap table for SIS692 in page 17. 4/12/2002
93.Connect the net of GPIO11 to X8 through series resistor at location R543.4/24/2002
16.Change net from +3VCC to VDDQ at loctation C161,C162,C163,C164,C165 for add VDDQ bypass.4/12/2002
94.Change the net name of J11(1394 Connector) GND from 1394GND to 1394CAS_GND for easy layout.4/28/2002
17.Change net of DDR damping resistors at loctation RP11,RP13,RP15,RP17,RP19,RP21,RP23,RP25,RP27,RP29,RP31,RP33,RP35 for easy layout.4/12/2002
95.Add pull up resistor for the net of LPS at location R558. 4/28/2002
18.Change net of AGP pull up resistors at loctation RP48 foreasy layout .4/12/2002
19.Add AGP pull up resistors at loctation R520~R528 and delete RP49 for easy layout .4/12/2002
96.Delete unuse bypass capacitors at location
C13,C14,C15,C16,C44,C59,C41,C58,C63,C57,C56,C55,C54,C53,C51,C221,C359,C358,C259,C262,C253,C274,C228,C237,C236,C247,C266,C130. 4/24/2002
20.Update Hardware Trap table for SIS645DX/650 in page 7. 4/15/2002
97.Change R328 value from 0 ohm to NA .4/29/2002
21.Change net of DDR thermination resistors at loctation RP12,RP14,RP16,RP18,RP20,RP22,RP24,RP26,RP28,RP30,RP32,RP34,RP36 for easy layout.4/15/2002
98.Change R296 value from 0 ohm to NA and R305 from NA to 0 ohm .4/29/2002
22.Change resistors value from 10K to NA for MAP17 default 2M X 32 DDR SDRAM support at loctation R187,R191,R192,R194. 4/12/2002
99.Change R367 value from NA to 432 1% ohm .4/29/2002
23.Change resistors value from 1K 5% to 1K 1% at loctation R172. 4/15/2002
100.Change R395 value from 0 ohm to NA and R394 from NA to 0 ohm .4/29/2002
24.Correct the LVDS signals of channel 2 (page 11) . 4/15/2002
101.Delete Q3 and connect WIR_LED# to MINI PCI pin 13 through a series resistor R559.4/29/2002
25.Change LAN PHY from ICS1839 to ICS 1839AF. 4/16/2002
102.Add Q32,Q33 to switch SMBUS and spreat SB (SMBUS signals) at S3 status.4/29/2002
26.Change net H8_PWROK from H8 pin A4 to P51 for Jimmy request . 4/16/2002
103.Change G gate voltage from +3VS to +5VS at location Q19 .4/29/2002
27.Update
104.Add JO37~JO40 at 1394 output signals for ESD.4/29/2002
2
B TO B connector from 50 pin to 70 pin at location PJ1. 4/16/2002
28.Update Quick Key board connector from 10 pin to 20 pin at location J22. 4/16/2002
105.Add JO41 at MIC signals for ESD.4/29/2002
29.Add THERM_ADM# net from ADM1032 to H8(add R533,R532,Q29) . 4/16/2002
106.Change the connection of C638 from H8 RI#(pin 48) to PCI1410 MF2(pin 64) .4/29/2002
30.Add NET of WIRE_LED# from MINI PCI to J22. 4/16/2002
107.Correct the Foot Print of RJ45 connector .4/29/2002
31.Change CRT_DDA,CRT_DDCK pull up voltage from +5VS to +3VS . 4/16/2002
108.Correct the Foot Print of PS2 connector .4/29/2002
32.Change capacitors value from 100P to 22P at location C483,C486,C487,C494,C503 for USB. 4/16/2002
109.Change AGP_DEVSEL# pull up from RP48 pin 3 to pin 7 for easy layout.4/29/2002
33.Disconnect USB_OC#5 to R359 pull up to +3V and delete unuse parts of R361,R362 for USB5 pair pull low 15K . 4/16/2002
110.Change AGP_RBF# pull up from RP48 pin 2 to pin 6 for easy layout.4/29/2002
35.Correct
111.Add Q34,R560,R561 to shift level and connect the new net of PSON#_H8 to H8 pin21 .4/29/2002
the signals name from AD[0..31] to PCI_AD[0..31] . 4/16/2002
36.Delete unuse parts of Q18,R482 . 4/16/2002
Rename reference. 4/30/2002
37.Add AC-Link signals in Mini-PCI (For MDC) . 4/16/2002
112.Change Resistors value from 51ohm 5% to 49.9 ohm 1% at location R89,R611,R667,R673,R674,R679,R680,R681.5/2/2002
38.Connector MINI PCI pin 21 to PCI_REQ3# ,pin22 to PCI_GNT3#, pin43 to IDSEL AD22
4/16/2002
113.Change R106,C112,OSC501,C706 value to N/A.5/2/2002
114.Change C128,c126 from NA to 10P.5/2/2002
40.Correct
115.Change X2 value to NA.5/2/2002
the PCI_DEVID3 from MAP17 pin AC3 to pin AB3 . 4/17/2002
41.Change MD_PD pull up voltage from +3V to +3VS at
location R229 . 4/17/2002
42.Change DIRECT pull up voltage from +3VS to 1394VCC3 at
1
and pin121 to RI for costumer request.
39.Mirror chock at location l57,l58 for easy layout. 4/16/2002
43.Add by pass 10U capicator for 1394VCC3 at
2
location R455 . 4/17/2002
location C661 . 4/17/2002
116.Change L11,C554,C557,C556 value to NA.5/2/2002
117.Change F501value from NA to SMDC110 ,D503 from NA to EC11FS2 and C501 from NA to 10U.5/2/2002
118.Change R614 from 147 ohm 5% to 150 ohm 1%.5/3/2002
44.Change PCI1410 CORE and PCI voltage from +3VS to CBVCC3. 4/17/2002
119.Change R731 from 5.6K ohm to 4.7K ohm.5/3/2002
45.Add by pass 10U capicator for PCI1410 CBVCC3
120.Change R639~R642 from 56.2 ohm to 56 ohm.5/3/2002
at
location C662 . 4/17/2002
46.Change MAP17 VDDAGP netname from +1.5VS to VDDQ at pin AG14,AK14,AG17,AK17,AG20,AK20,AK23,AK26,AK29,AF30. 4/17/2002
121.Correct Footprint from 0603D_DFS to 0603D at location R761,R736,R740,R742 .5/3/2002
47.Remove Side Band bus from NB and MAP17.4/17/2002
122.Change C853~C872 value from 1000P to 0.1U 5/6/2002
48.Add THRMTRIP# pull up resistor to VCCPVID at location R539 . 4/17/2002
123.Change C877,C891~C893 value from 0.015U to 0.1U 5/6/2002
49.Change X2 value from NA to 27MHZ and change X3,C311,C312 to NA. 4/17/2002
124.Change the value
from 49.9 ohm 1% to 51 ohm 1% at location R611,R645,R648,R650,R653,R663,R667,R670,R673,R674,R679,R680,R681,R89. 5/6/2002
50.Remove unuse resistor at location R148.4/17/2002
125.Change the value
from NA to 27P at location C674,C675. 5/6/2002
51.Add net COVER_SW# to B to B connector . 4/17/2002
126.Change the value
from NA to 25MHZ at location X505. 5/6/2002
50.Remove dumping resistors of LAN MII TX signals at location R299,R301,R303,R307.4/18/2002
127.Change the value
from 0 ohm to NA at location R697. 5/8/2002
52.Change turn on main power net from SUSB# to MAINPWR(H8 P40 pin49) and delete unuse part of Q26. 4/18/2002
128.Change the value
from 10K ohm to NA at location RP507. 5/9/2002
53.Update Hardware Trap table for M650 Panel ID in page 17. 4/17/2002
129.Change the value
from NA to 10K ohm at location R170. 5/9/2002
54.Add pull up resistor for MAINPWR at location R540 . 4/17/2002
130.Change the value
from 8.2K to NA at location R150, R147. 5/9/2002
55.Rename USB0VCC5 to USB2VCC5 at USB2 pair voltage net name . 4/17/2002
131.Change the value
from 10K 5% to 10K 1%
56.Add by pass 10U capicator for AGP VDDQ
132.Change the value
from NA to 10K at location R174. 5/9/2002
57.Delete R147 and connect VDDFBIO to VDD_MEM2.5 . 4/22/2002
132.Change the value
from NA to 0 at location R184. 5/9/2002
58.Change AGP bus pull up voltage from +1.5VS to VDDQ at location RP48,RP50,525~R528 . 4/22/2002
132.Change the value
from 0 to NA at location R185. 5/9/2002
59.Creat TV_COMP net to support AV output.(Add C554,C665,C666,D29,L83 and delete R113) . 4/22/2002
132.Change the value
from 22P to NA at location CP503,CP504,CP505,CP506. 5/9/2002
at
location C663 . 4/17/2002
at location R77,R72. 5/9/2002
60.Connect AGP VDDQ net to +1.5VS for easy layout. 4/22/2002
1
61.Change R533 pull up voltage from +5V to +3V.4/22/2002
62.Delete
duplicate capicator of
CARS_RI# signa at location C555 . 4/22/2002
63.Connect +12VS,+3VS,+3v,+5V,DVMAIN to PJ1 BTB connector. 4/22/2002
64.Remove unuse net USB_OC#5 at BTB connector . 4/22/2002
65.Add +5VS connect to BTB connector (pin44)
. 4/23/2002
66.Change ISA pull up resistors from 4.7K to 10K at location RP40~RP46.4/23/2002
67.Delete R470,R474 and connect CLKRUN# to PC83793 pin6.4/23/2002
67.Delete R473 and disconnect SUS_STAT# to PC87393.4/23/2002
68.Add pull up 10K resistor for ROMCS# signal at location R451. 4/23/2002
69.Connect +5VS to PJ1 pin34. 4/24/2002
70.Connect DVMAIN to PJ1 pin32. 4/24/2002
71.Mirror L69,L71,L73,L75 for easy layout. 4/24/2002
72.Change CRT_IN# pull up voltage from +3VS to +3V at location R233.4/24/2002
73.Add 10U capacitors for +2.5V_DDR at location C667~C671. 4/24/2002
74.Change PCI_REQ1# pull up resistor from RP37 to R542. 4/24/2002
75.Change R334 from 4.7K to NA. 4/24/2002
76.Delete R326 and change the connect pin of MPCIACT# from SIS962 pine E5 to T8.4/24/2002
Title
HISTORY
77.Delete R325 and change the connect pin of SPK_OFF from SIS962 pine C4 to U4.4/24/2002
78.Change AGP_STOP# and AGP_BUSY# vpull up voltage from
Size
+3VS to +1.5VS .4/25/2002
79.Add MIC connector in M/B at location J30 . 4/25/2002
Date:
A
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
B
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
31
of
32
R00 TO R0A
5
4
3
2
1
1.Delete the necessary pull up resistor of ADM1032 at location RP507.
2.Install R94 to pull up Test_Hi12(DPSLP#) at DT mode.
3.Change the PCB Footprint from 1812 to 1210 at location C693,C696.
4.Support 300mA VCCPVID output to add parts of U520,R850,C904,C905,L359,C903.
5.Changed the resistance from 150ohm 1% to 49.9ohm 1% for DDRVREFA/B at location R98,R108,R750,R745.
6.Reserved +1.25V_REF to provid DDRVREF at location R823,R248,R825.
7.Changed the resistance from 1Kohm 1% to 49.9ohm 1% for +DDRVREF at location R807,R812.
8.Change the PCB Footprint from 0603D_DFS to 0603D for M650 LCD_ID
D9.Change
at location R736,R740,R742.
D
the PCB Footprint from 0603D to 0603D_DFS at location R578.
10.Add 10K resistors R838~R845 and delete R615,R60,R75,R634,R618,R58,R71,R635 for modify MAP17 RAM_CFG strap circuit (refer page 10.11).
11.Change the pull up voltage of AGP_BUSY# signal from +1.5VS to +3VS.
12.Disconnect U6 pinAG10 and pull up to +3VS.(R591,N_AGP_STOP#)
13.Changed the voltage of MAP17 IFP0IOAVDD from +2.8VS to A3V.(L16 pin1)
14.Change resistors value to NA at location R38,R568 that no connect SM530 REFOUT.
15.Change resistors value from NA to 10K at location R636,R62 for correctly MAP17 ROM TYPE.
16.Change resistors value from 10K to NA at location R637,R83 for correctly MAP17 ROM TYPE.
17.Correct S-VIDEO pin connection that pin5 connect to TV_LUMA and pin7 connect to TV_CRMA.
18.Change resistors value from 100K
to 10K at location R501 for CRT_IN# signal.
19.Correct FSB SELECT circuit .(refer page13)
20.Correct DDR CLOCK buffer
BF_OUT layout.
21.Changed capacitor value from 10P to NA at location C829.(BF_OUT)
22.Delete the necessary pull up resistor of S3AUXSW# at location R115.
23.Add R115,R192 0ohm/NA to disconnect pull up to +3VS of P_INIT#,P_STB#.
24.Change resistors value from NA to 8.2K to meet AGP specification at location R150,R147 for AGP_ADSTB0#,AGP_ADSTB1#.
25.Changed capacitor value from 22U to 10U at location C132 and add 10U capacitor at location C254 for ME issue.(AUXOK)
27.Changed the USB pair signal to J10 from USB2 to USB4 to support USB boot function.
28.Connect unused USB pair pull low(10K) of USB2 at location R127,R130 and pull up USB_OC#2 to +3V at location R153.
29.Change resistor value from NA to 10K to disable debug mode of USB_OC#4.
C30.Changed
C
the BEAD value from NA to 120ohm at location L29 for USBPVDD.
31.Changed the resistor value to 0ohm for IDE busd.(refer page18)
32.ADD SIORDY pull up +5VS(R196),IRQ15 pull low (R197) and SDDREQ pull low (R198).
33.Connect PJ1 pin 28 to +5VS for power team requist.
34.Add DFS parts for EMI request at location JS510,JS511.
35.Changed LAN transformer from LF-H80P to LF_H41S.
36.Reserved pull up resistor for 1394 LPS signal at location R152.
37.Changed USB connector for ME request.
38.Add Q5,R60,R109 to correct WIR_LED# circuit.(refer page 23)
39.Add R193 that pin1 connect to J503 pin 116(MINI PCI socket)and pin 2 connect to MODEM_SPK.
40.Connect J503 to GND for CODEC ID0#.(R194)
41.Add R75 for WIRE_LED.
42.Add R170 for WIRE_LED#.
43.Add J24,D3,Q12 for system second FAN.
44.Add R161,Q512 and changed resistors value from 10K to 470 ohm at location R169,R170 to correct ADM1089 THERM function.
45.Add R831,R169,Q510 to correct CPU THERMTRIP function.
46.Changed capacitor from 10U 1210 to 10U 1206 at location C241,C242,C243,C245,C244,C213,C216,C847.
47.Changed C92 from
22U 1210 to 10U 1206 and add C902 10U 1206 for clock generator +3VS for ME issue.
48.Add 10U 1206 capicators for MAP17 +1.2VS at location C896,C897,C898.
49.Add 100K pull low resistor for PWROK signal at location R826.
50.Change part from RSL4148 to EC10QS03L at location D515.
B51.Delete
necessary parts of
B
Q12,Q13(2N7002).
52.Changed USB signal damp resistors from 22 ohm to 0 ohm.(refer page 17)
53.Changed USB signal by pass capacitors value from 22P to NA.(refer page 17)
54.Delete USB signal pull low resistors value from 15K to NA.(refer page 21,23)
55.Add 0.1U capatitor at location C252 that pin 1 connect to U12 pin62(CB1410 SPKR_OUT#) and pin2 connect to CARDSPK#.
56.Rename R799 to RT1.
57.Delete MIC connector from M/B.(J12)
58.Correct MINIPCI_RI circuit.(refer page24)
R0A -> R0B(Only modified artwork from PCB vendor)
1. Change +1.25V power source from +3V to +3VS. for saving S3 power consumption (refer page25)
R0B -> R01
1.Change Fan lie to stand(refer page24)
2.It disabled the I2C function and can also reduce the S3 resume time,add 4 2.2k ohm to +5vs(refer page11)
3.Change Diode D507,D515 footprint(refer page16)
4.Reserve 0.1uF in Q503 B, E, it will avoid H8 reset when Power off.(refer page24)
5.Change C252 from 0.1uF to 0ohm in BOM (Page 19)
6.Change R192 (Page 23) from 0ohm to 0ohm/NA [In BOM],Add R403 (Page 23) 0ohm connect to PJ1 (Page 18) Pin 54.
7.Add three 0.1uF Cap.(C901,C902,C913)
for Mini-PCI +5VS.
8. PJ1,J19 change PCB Footprint
A9.It
A
will avoid LED blinking.(refer page12)
Title
HISTORY R00 to R0A
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
01
8640
Wednesday, August 28, 2002
Sheet
1
32
of
32
5
4
3
2
1
D
D
8640 FPC R01
MSCLK
MD3
MCMD
SDVDD
MCLK
SDCD
MD0
MD1
MD2
P47_SDWP
C
B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
SD.MMC
MSCD
J1
SM.CARD
1
2
3
4
5
6
7
8
9
10
MS
J2
MSBS
MSVDD
MSDIO
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
MA/10-22-13P/ST
CLE9041-0101G
SMK
B
P35_SMWPI
2
1 JO1
P34_SMCE
2
1 JO2
SCLE
2
1 JO3
SRE_N
2
1 JO4
SALE
2
1 JO5
P40_SMRB
2
1 JO6
MSCLK
2
1 JO7
SWE_N
2
1 JO8
MSCD
2
1 JO9
P46_SMWP
2
1 JO10
SD0
2
1 JO11
MSDIO
2
1 JO12
SD7
2
1 JO13
SD1
2
1 JO14
MSBS
2
1 JO15
SD6
2
1 JO16
SD2
2
1 JO17
SD5
2
1 JO18
SD3
2
1 JO19
SD4
2
1 JO20
SMCD
2
1 JO21
MD2
2
1 JO22
MD3
2
1 JO23
MCMD
2
1 JO24
MCLK
2
1 JO25
SDCD
2
1 JO26
MD0
2
1 JO27
MD1
2
1 JO28
P47_SDWP
2
1 JO29
SCLE
SALE
SWE_N
P46_SMWP
SD0
SD1
SD2
SD3
SMCD
SMVDD
SD4
SD5
SD6
SD7
P40_SMRB
SRE_N
P34_SMCE
P35_SMWPI
P35_SMWPI
SMVDD
P34_SMCE
SCLE
SRE_N
SALE
MSVDD
P40_SMRB
MSCLK
SWE_N
MSCD
P46_SMWP
SD0
MSDIO
SD7
MSVDD
SD1
MSBS
SD6
SD2
SD5
SD3
SD4
SMVDD
SMCD
MD2
MD3
MCMD
SDVDD
MCLK
SDCD
MD0
MD1
P47_SDWP
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
C
FPC/FFC-40P/0.5MM
6702-40
B
A
A
Title
8640 FPC
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Rev
01
Document
Number
Thursday, June 13, 2002
1
Sheet
1
of
1
5
4
3
2
1
8640 EASY BUTTON BOARD
D
D
K CL-190G
D10
A
K CL-190G
2
4
6
8
10
12
14
16
18
20
R6
470
0603
KI1
KI2
KI3
KI4
IDE_LED#
CD_LED#
MPM_LED#
2
4
6
8
10
12
14
16
18
20
HDR/FM/1.27MM/H4.5
SPEED
S20X-0100-20X
PWR BUTTON
PWRSW#
CL-190G
K
A
D6
CL-190G
K
A
D5
CL-190G
K
A
D3
CL-190G
K
A
D2
CL-190G/NA K
A
D1
CL-190G/NA K
A
D4
R1
470
0603
R3
470
0603
1
1
1
1
R5
470
0603
R2
470
0603
R4
470
0603
2
K CL-190G
A
1
3
5
7
9
11
13
15
17
19
2
A
D8
J501
1
3
5
7
9
11
13
15
17
19
2
D7
KO1
KO0
PWRSW#
SCROLL#
NUM#
CAP#
WIRE_LED#
2
K CL-190G
1
+5VS
A
2
2
D9
2
R9
470
0603
2
R7
470
0603
1
1
1
R8
470
0603
2
R10
470
0603
2
+5VS
1
1
+5VS
SW6
1
3
2
4
5
TC010-PSS11CET
1 JO6
2
C
C
2
4
5
KI1
TC010-PSS11CET
SW2
1
2
3
4
5
2
TC010-PSS11CET
SW5
2
4
5
2
TC010-PSS11CET
SW4
1
2
3
4
5
2
TC010-PSS11CET
SW3
1
2
3
4
5
2
1
3
1 JO2
2
MTG1
ID2.2/OD6.5
KI1
MTG2
ID2.2/OD6.5
MTG3
ID2.2/OD6.5
1 JO1
1
KO1
SW1
1
3
1
KO0
1
MPM BUTTON
KI2
1 JO5
KI3
1 JO4
KI4
1 JO3
TC010-PSS11CET
B
B
A
A
Title
8640 EASY START BOARD
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Rev
00
Document
Number
Thursday, June 27, 2002
1
Sheet
1
of
1
5
4
3
2
1
MODEL : 8640 Card Reader/Audio/Touch Pad Board Revision 00
D
D
Contexts
Page
3
2
1
Title
C
B
DESIGN
CHECK
MTG8
ID2.5/OD5.0
13
12
11
10
4
5
6
MTG9
MTG/ID2.38/OD6.0
1
1
MTG7
ID2.2/OD6.5
7
8
9
AGND
AGND
1.Correct SM/MS pull up voltage to +3V.
2.Correct W27C512 VCC from +5VS to +5V.
3.Change th connection of 24C02 pin8 from +3V to GND to disable write portec.
4.Change the pin assigment of J1 for ESD solution.
5.Delete SW1 and SW4.
5 mil
6.Change the TOUCH PAD connect from 6 pin to 12 pin at location J501.
7.Add damping resistors for Card Reader signals at location R513~R516.
4 mil
8.Add Audio Code clock select function at location R70,R71.
9.Add 10K/NA resistor connect to GND of 14.318MHZ_AUDIO net at location R517for EMI request.
20 mil
10.Delete capicators for ALC2002 at location C36,C39,C55.
11.Change resistance from 200K to 200K/NA at location R54,R55 to disable BEEP function.
5 mil
12.Change bead to DFS type at location L5o1~L504.
13.Change the connection of Q2 poin2 from Q504 pin3 to +3VS
4 mil
14.Delete R57.
15.Add 0 ohm resistor at location R72.
16.Change the part of VR501.
DRAW
MTG6
ID2.2/OD6.5
1
MTG5
ID3.0/OD6.5
1
MTG4
ID2.2/OD6.5
1
MTG3
ID2.2/OD6.5
1
MTG2
ID3.0/OD6.5
1
MTG1
ID2.2/OD6.5
1
2
3
4
1
COVER SHEET & HISTORY
BTB/CD-ROM CONNECTOR
AUDIO CODEC
AUDIO AMP
AGND
C
COMP
AGND
IN1
IN2
DGND
SOLDER
B
ISSUED
A
A
Title
COVER SHEET & HISTORY
Size
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Document
Number
Rev
00
411673400002
Friday, June 28, 2002
Sheet
1
1
of
4
A
B
+3V_MB
PLACE CLOSE TO CDROM CONNECTOR
8
WC-
7
2
A1
SCLK
6
1
A0
U1
SDA
5
MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
93
94
95
96
97
98
99
100
+3V
1
SDCS3#
P5.0
P5.1
P5.2
P5.3
P5.4
P5.5
P5.6
P5.7
BTB CONNECTOR
R3
0
0603
2
+5VS
1
PJ501
2
C8
12P
0603
5%
TEST
SYNC
NC0
NC1
NC2
NC3
NC4
70
48
3
65
66
102
125
GNDD0
GNDD1
GNDD2
GNDD3
GNDD4
GNDD5
GNDD6
GNDD7
GNDD8
GNDD9
GNDD10
GNDD11
VCCD0
VCCD1
VCCD2
VCCD3
VCCD4
VCCD5
VCCD6
2 22
2 22
1
1
USBP5+
USBP5-
0603
0603
+3V
EA_N
R131
2 4.7K/NA 0603
R121
2 0
Disable External Access Enable
0603
Enable External Access Enable
+3V
1
2
33
34
61
89
90
C4
0.1U
0603
50V
C12
0.1U
0603
50V
C13
0.1U
0603
50V
C5
0.1U
0603
50V
ND3050
PQFP128A_0.5MM
MONO_OUT (3)
+12VS +3VS +3V_MB +5V
+3V
2
200V/0.5A
FR2025
A15
A12
MA7
MA6
MA5
MA4
MA3
MA2
MA1
MA0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
S
Q2
1608
DATA
L_SW
1
C3
C2
47P 0.1U
0603 0603
50V
2
2
1
R_SW
12
11
10
9
8
7
6
5
4
3
2
1
D
SRE_N
0
1
0603
P10_MSSP
Close to Switch
G
+5V
Q4
SOT23_FET
FDV302P
MSCLK R87
SWE_N R88
100 0603
1
2
1
2
0
BAV99
2
+3V
3
RIGHT
S
1
D2
2
4
5
P16_SDSP
G
BAV99
TC010-PSS11CET
2
CLK
1
2
JO502
DATA
1
2
JO503
L_SW
1
2
JO8
R_SW
1
2
JO9
LEFT
1
3
1
2
4
5
D1
D
3
SW2
0603
P35_SMWPI
SMVDD
P34_SMCE
SCLE
SRE_N_R
SALE
MSVDD
P40_SMRB
MSCLK_R
SWE_N_R
MSCD
P46_SMW P
SD0
MSDIO
SD7
MSVDD
SD1
MSBS
SD6
SD2
SD5
SD3
SD4
D
S
SW3
1
3
2
+3V
S
2 120Z/100M
1
R86
D
T_DATA L1 1
12
11
10
9
8
7
6
5
4
3
2
1
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
A14
A13
A12
A11
A10
A9
A8
MA7
MA6
MA5
MA4
MA3
MA2
MA1
MA0
PSEN_N
A10
ALE
AD7
AD6
AD5
AD4
AD3
8
5
9
2
28
3
4
10
11
12
13
14
15
16
17
1
1
A14
A13
A8
A9
A11
A14
A13
A12
A11
A10
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0
I/O1
I/O2
I/O3
I/O4
I/O5
I/O6
I/O7
I/O8
18
19
20
22
23
24
25
26
CE
OE
WE
27
1
6
VCC
VSS
7
21
R6
470
0603
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
ALE
P37_RD_N
P36_WR_N
+3V
UT62L256C
TSOP28A_0.55MM
C11
0.1U
0603
16V
J507
D
S
CLK
VCC
A14
A13
A8
A9
A11
NC2
OE/CPP
A10
CE
Q7
Q6
Q5
Q4
Q3
NC1
SOT23_FET
FDV302P
G
J501
FPC/FFC-12P/1MM
CONN_ELCO6239_12
1608
NC0
A15
A12
A7
A6
A5
A4
A3
A2
A1
A0
NC
Q0
Q1
Q2
GND
D
S
P31_SMSP
2 120Z/100M
R5
470
0603
U8
W27C512
PLCC32
+3V
L2 1
C14
0.1U
0603
16V
U502
JO5
0.25A
1
VCCA
XTAL1
XTAL2
GNDA
2
MONO_OUT
COVER_SW#
1
+5V
2
PSEN_N
ALE
RST_N
SW5
COVER_SW#
L3
120Z/100M 1608
2 1
2
C1
47P
0603
103
104
19
68
105
2
SB_SPKR (3)
CARDSPK# (3)
MODEM_SPK (3)
SPK_OFF (4)
TOUCH_PAD
T_CLK
C6
12P
0603
5%
PSEN_N
ALE
RSTI_N
RSTO_N
EA_N
R10
R9
+5V
SB_SPKR
CARDSPK#
MODEM_SPK
SPK_OFF
AD0
AD1
AD2
1
2
+5VA
1
1
1M/NA
0603
X1
1
3
2
4
12MHZ
HDR/FM/1.27MM/H4.5
SPEED
S20X-0100-70X
F1
10
11
26
31
49
59
60
64
91
92
101
121
USBDP
USBDM
R11
1.5K
0603
1
T_CLK
T_DATA
2
63
62
DP
DM
2
USBP5+
USBP5-
1
MSBS
MSCLK
MSDIO
+3V
C7
2.2U
0805
+80-20%
1
AC97_RST#
AC97_SDOUT
AC97_SDIN
AC97_SYNC
AC97_BITCLK
14.318MHZ_AUDIO
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15
SDA2
SDCS3#
R2
2
(3) AC97_RST#
(3) AC97_SDOUT
(3) AC97_SDIN
(3) AC97_SYNC
(3) AC97_BITCLK
(3) 14.318MHZ_AUDIO
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
C9
0.1U
0603
50V
2
SDDREQ
SDIOW#
SDIOR#
SIORDY
SDDACK#
IRQ15
SDA1
SDA0
SDCS1#
CD_LED#
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
1
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
2
IDE_RST#
SDD7
SDD6
SDD5
SDD4
SDD3
SDD2
SDD1
SDD0
15
16
17
18
6
5
4
BS
SCLK
SDIO
2
1
VCC
A2
MD0
MD1
MD2
MD3
MCMD
MCLK
2
GND
3
109
110
111
112
113
108
1
4
MD0
MD1
MD2
MD3
MCMD
MCLK
2
P4.0
P4.1
P4.2
P4.3
P4.4
P4.5
P4.6
P4.7
P40_SMRB
R1
8.2K
0603
1
2
SO8
NM24C02N
+3V
2
P44_I2CSDA
P45_I2CSCL
P46_SMW P
P47_SDWP
9
12
13
40
114
115
127
128
P34_SMCE
P35_SMWPI
P36_WR_N
P37_RD_N
1
P3.0
P3.1
P3.2
P3.3
P3.4
P3.5
P3.6
P3.7
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
SALE
SCLE
SRE_N
SWE_N
2
R7
4.7K
0603
72
73
74
75
76
77
78
79
41
42
43
71
1
1
1
C58
4.7U
1206
16V
R8
4.7K
0603
2
C62
0.1U
0603
50V
2
1
1
2
R40
5.6K
0603
GND1
GND2
GND3
GND4
2
1
1
K
C57
0.1U
EC10QS04/NA 0603
50V
39
126
32
14
20
21
22
23
P30_A16
P31_SMSP
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
SALE
SCLE
SRE_N
SWE_N
2
TP1
+3V
1
D3
P2.0
P2.1
P2.2
P2.3
P2.4
P2.5
P2.6
P2.7
81
82
83
84
85
86
87
88
116
117
118
119
120
122
123
124
44
45
46
47
80
69
1
2
+3V
C10
0.1U
0603
50V
50
51
52
53
54
55
56
57
A8
A9
A10
A11
A12
A13
A14
A15
FD0
FD1
FD2
FD3
FD4
FD5
FD6
FD7
FD8
FD9
FD10
FD11
FD12
FD13
FD14
FD15
A0
A1
A2
IOR_N
IOW_N
IORDY
2
1
2
+3V
Close to IDE Connector
P1.0
P1.1
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
P16_SDSP
+5VS
FM/25PX2-R/A
C12473-150XX
DVMAIN
C77
0.1U
0603
50V
SDCD
MSCD
2
R93
0
0603
C76
10U
1206
16V
24
25
106
107
58
67
7
8
P10_MSSP
SMCD
R4
4.7K
0603
1
1
1
1
C75
1206
10U
16V
2
1
2
C74
0.1U
0603
50V
+3V
R92
100/NA
0603
APL1117
SOT223
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15
SDDREQ
SDIOR#
SDDACK#
SDA2
2
P0.0
P0.1
P0.2
P0.3
P0.4
P0.5
P0.6
P0.7
1
VOUT
2
VIN
A
R26
470
0603
2
R41
10K
0603
3
2
GND1
GND2
GND3
GND4
2
2
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
1
1
CABLE_SEL
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
1
2
SDIOW#
SIORDY
IRQ15
SDA1
SDA0
SDCS1#
CD_LED#
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
Secondary EIDE Connector
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
IDE_RST#
ADJ/GND
+5V
27
28
29
30
35
36
37
38
2
1
J502
SDD7
SDD6
SDD5
SDD4
SDD3
SDD2
SDD1
SDD0
1
To Audio Codec
2
R42
4.7K
0603
U7
CDROM_COMM (3)
CDROM_LEFT (3)
CDROM_RIGHT (3)
2
1
CDROM_COMM
CDROM_LEFT
CDROM_RIGHT
1
2 0603
1
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
R91
100/NA
0603
2
R56
0
+5VS
+3V
U2
W/S=16/12/12/16 mils
SMVDD
SMCD
MD2
MD3
MCMD
Q5
SOT23_FET
FDV302P
TC010-PSS11CET
MCLK
R89 1
0 0603
SDVDD
2 MCLK_R
SDCD
MD0
MD1
P47_SDWP
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
1
Smart Media
MMC\SD
+3V
+3V
RP3
4.7K
4.7K
4.7K
4.7K
1
1
1
1
2
2
2
2
R76
R83
1
1
2 4.7K/NA 0603 P35_SMWPI
2 4.7K/NA 0603 SMCD
RP2
1
2
3
4
1
2
3
4
820K*4 1206
8
7
6
5
8
7
6
5
RP1
820K*4 1206
0603
0603
0603
0603
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
10K*4
1
2
3
4
P34_SMCE
P40_SMRB
P46_SMW P
P31_SMSP
R74
R80
R79
R75
R85
R81
R84
R82
1206
MD0
MD1
MD2
MD3
8
7
6
5
1
1
1
1
2
2
2
2
10K
4.7K
4.7K/NA
4.7K/NA
MCMD
P16_SDSP
SDCD
P47_SDWP
0603
0603
0603
0603
Momory Stick
+3V
0603 P10_MSSP
R77
1
2 4.7K
R78
1
2 4.7K/NA 0603 MSCD
R25
1
2 4.7K/NA 0603 MSDIO
Title
BTB/CD-ROM CONNECTOR
FPC/0.5MM/40P/RA
E&T 6702-40
Size
Date:
A
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
B
Document
Number
Rev
00
411673400002
Friday, June 28, 2002
Sheet
2
of
4
5
4
3
2
1
+5VS
D
2
D
JP1
JP
AUDIO CODE
2
1
C35
0.1U
0603
50V
2
C41
0.1U
0603
50V
2
C22
0.1U
0603
50V
1
1
Close to Codec
1
2
1
C25
0.1U
0603
50V
2
1
2
2
C26
10U_NA
1206
10V
2
1
Close to Codec
C15
10P/NA
0603
OUT0
OUT1
NR
GND
IN0
IN1
ERR
SD
8
7
6
5
2
R24 1
330K
SHORT-SMT4
Close to ADP3301
ADP3301AR-5
SO8
C40
10U
1206
10V
1
R29
0
0603
2
JS1
U4
1
2
3
4
R28
0
0603
1
AVDDAD
1
1
+12VS
2
AC97_BITCLK
C27
1
2
L7
120Z/100M/NA
0.01U
2012
0603
C28
1U
0603
AGND
2
L6
120Z/100M
1608
2
1
1
1
+3VS
2
0603
R17
R32
1
2 6.8K
5%
CDROM_RIGHT
1
2
1U 10V
0603
R33
1
2 6.8K
5%
CDROM_LEFT
C31
1
2
1U 10V
0603
R34
1
2 6.8K
5%
CDROM_COMM
16
C34
1
2 0.1U/NA
50V
0603
17
C29
1
2 0.1U/NA
50V
0603
AUX/L
14
C18
1
2 0.1U/NA
50V
0603
1
2 0.1U/NA
50V
0603
C19
35
AOUT_L
0603 10V 1U_NA1
2 C55
33
FLTI
LINE/OUT/R
36
AOUT_R
0603 50V
1000P_NA
2 C56
PHONE
13
C20
1
MONO_OUT
37
C38
1
1
0.1U_NA
2
50V
0603
2
50V
0603
NC1
NC2
NC3
ID0#
ID1#
EAPD
S/PDIF_OUT
R70
0
0603
R71
0
0603
1
R31
100K
0603
AGND
AGND
AOUT_R (4)
2
1U 10V
0603
2
1U 10V
0603
2
1
MONO_OUT
MONO_OUT (2)
ALT_LINE_OUT_L
39
C39
1
2 0.1U_NA
50V
0603
ALT_LINE_OUT_R
41
C36
1
2 0.1U_NA
50V
0603
AFLT1
29
C49
1
2 1000P 50V
0603
C50
1
2 1000P 50V
0603
AFLT2
30
REFFLT
27
2
1
DF13-2P-1.25H
J508
VREFOUT
28
MIC_GND
20mil
AVDDAD
Very Close to Codec
ALC202
PQFP48_0.5MM
C47
0.1U
0603
50V
C46
0.1U
0603
50V
C45
10U
1206
10V
External Micro Phone Jack
R39
R38
C37
1000P/NA
0603
2 MIC_VREF
1
1
2.7K
0603
J505
2
2.2K
0603
MIC_3
MIC_2
AGND
AGND
1
AGND AGND
C61
1U
0603
R35
2.7K_NA
0603
2
R36
C48
2.2U
0805
+80-20%
2
MIC_VREF
1
L25
2
600Z/100M 1608
0603D
2
0/NA 0603
5
4
3
2
1
B
RA/D3.6/5P
HCH
IDJ-B27-F6T
C69
220P
0603
10%
2
AGND
AGND AGND
2
AGND
B
1
2
1
2
2
2
R30
100K
0603
JO504
DVSS1
DVSS2
SPDIFOUT
(4) SPDIFOUT
0.1U
0603
50V
CDROM_COMM (2)
1
FLTO
AGND AGND
C
CDROM_LEFT (2)
2
40
43
44
45
46
47
48
AOUT_L (4)
R27
100K
0603
CDROM_RIGHT (2)
1
VIDEO/L
15
34
AGND
VIDEO/R
AUX/R
1
2
19
2
CD/GND
MODEM_SPK (2)
C16
0.1U_NA
0603
50V
1
R14
20K
0603
2
C32
0603
1
1
18
1U 10V
LINE/OUT/L
1
2
R19
100K
0603
CD/L
2
FLT3D
C21
1
1
2
R16
10K
0603
NC7S32
SC70/SOT70
5
4
1
CARDSPK#
1
(2) CARDSPK#
A
VCC
B
Y
GND
C33
BPCFG
1
0.1U_NA
U3
20
0603
32
10K_NA
0603
1
2
3
CD/R
MODEM_SPK
2
10K/NA 0603
MIC
50V
31
C52
0.1U
50V
0603
2 0.1U
2 C53
AGND
2
1
1
1
C42
2 C51
C54
C17
2
MIC2
1
AVSS1
AVSS2
2
R18
22
1
AVDDAD
1
0603
MIC2
0603 10V 1U
26
42
1
1
2
(2) SB_SPKR
1U 10V
0603 10V 1U
24.576MHZ
C23
10P/NA
0603
2
PC_BEEP
2
SB_SPKR
1
XTL/OUT
4
7
1
C24
10P/NA
0603
C30
XTL/IN
X2
1
MIC1
2
12
21
1
1M/NA
0603
MIC1
2
3
0603
50V
1
0603
2
50V
2 0.1U
1
1 R22
2 0.1U
1
1
0
0603
R90
10K/NA
0603
1
C43
1
2
C44
24
2
2
1
25
38
CLOSE TO CODEC
1
23
R15
1K
0603
1
2
0603
RESET#
SDATA/OUT
SDATA/IN
SYNC
BIT/CLK
LINE/IN/L
LINE/IN/R
2
R23
22
1
R21
U5
AVDD1
AVDD2
1
9
DVDD1
DVDD2
11
5
8
10
6
1
2
AC97_BITCLK
(2) AC97_BITCLK
2
2
0603
AC97_SYNC
(2) AC97_SYNC
(2) 14.318MHZ_AUDIO
22
1
R20
AGND
L.CH
AC97_SDIN
(2) AC97_SDIN
AGND
R.CH
AC97_SDOUT
(2) AC97_SDOUT
C
AGND
2
AC97_RST#
(2) AC97_RST#
L23
AGND
1
2
600Z/100M
1608
ID1#=X, ID0#=X: Use 24.576MHz crystal
AGND
ID1#=Low, ID0#=X: Use 14.318MHz external clock
CAGND
ID1#=X, ID0#=Low: Use 48MHz external clock
MIC_GND
L10
1
L5
2
120Z/100M
2012
A
1
L9
1
L4
2
120Z/100M
2012
AGND
1
120Z/100M
2012
1
AGND
2
120Z/100M
2012
AGND
L11
2
MIC_GND (1,4)
AGND
A
L8
2
120Z/100M
2012
1
AGND
2
120Z/100M
2012
AGND
Title
AUDIO CODEC
Size
Document
Custom
Number
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Rev
00
411673400002
Friday, June 28, 2002
Sheet
1
3
of
4
5
4
3
2
1
GAIN_SL
5V_AMP
9
5
10
5V_AMP
VR501
10K
1
6
R60
10K_NA
0603
3
C71
0.1U
0603
50V
R61
10K/NA
0603
1
2R
RGAIN2
RGAIN1
25
24
C64
1U
0603
2
R48 15K
AMP_MUTE
29
30
31
32
33
34
35
36
37
38
39
GND1
GND2
GND3
GND4
GND5
GND6
GND7
GND8
GND9
GND10
GND11
1
0603
2
1
R45
20K
0603
AGND
R43 20K
0603
1
C65
0.1U
0603
50V
R67
1
22
0603
2
R62
C72
100P 1K
C501 100U
1
2
6.3V
2
R66
1
SPDIFOUT1
(3) SPDIFOUT
2
+3VS
CAGND
R69
0
0603
AGND
2
G
2
2
1608
600Z/100M/NA
2
-DECT_HP/OPT
3
Q6
DTC144TKA
2
HP_SENSE
L17
1
2
1
3
2
2
L13
600Z/100M/NA
1608
C
R94
0_NA
0603
1
Q506
R1
L18
CHOKE_PLP3216S_BLM
PLP3216S
L12
120Z/100M
1608
SPDIFOUTJ
3
R1
R65
100K
0603
1
G
AMP_MUTE
SPDIFOUT
D
2
R64
4.7K
0603
5V_AMP
S
D
S
1
+3VS
Q505
DTA144TKA/NA
LED
2
5V_AMP
+5VS_SPD
SI2301DS
R95
10K
0603
1
1
2
1
Q1
Drive
IC
GP1FD310TP
SHARP
331840010008
1
L14
CHOKE_PLP3216S_BLM
PLP3216S
R72
0/NA
0603
AGND
8
7
9
L19
2
1608
600Z/100M/NA
2
L15
1608
600Z/100M/NA
120Z/100M
1608
+5VS
2 1608 5
2 1608 4
2
3
1
1
1
2 SPDIFOUTJ
L16
1608
600Z/100M
1
+5VS_SPD
L24
AGND
600Z/100M
600Z/100M
0603 -DECT_HP/OPT
2 4.7K
20%
C63
0.068U
25V
0805
L21
L22
AGND
5V_AMP
C73
100P
0603
0603 0603 0603
1
AGND
1
1K
+3VS
AGND
R59
2
LM4835MTE
TSSOP28_MXA28A
2
C
2
SUTDOWN
1
J506
DF13-2P-1.25H
1
ROUT+
ROUT-
J503
DF13-2P-1.25H
1
BYPASS
1
2L
1
2
4
22
1
2
2 1608
2 1608
1
C59
0.1U
0603
50V
2 1608
2 1608
1
1
2
GNDA
GNDB
GNDC
GNDD
GNDE
1
1
L504 600Z/100M 0603D_DFS
L503 600Z/100M 0603D_DFS
Line Out/SPDIF
Phone Jack
J504
3
1
C67
0.1U
0603
50V
2
1
1
C70
0.1U
0603
50V
2
Close to LM4835
Pin6/16/27
2
120Z/100M
2012
L502 600Z/100M 0603D_DFS
L501 600Z/100M 0603D_DFS
SPKROUT+
SPKROUT-
-DEVICE_DECT
2
1
1
8
14
20
23
5V_AMP
SPKLOUTSPKLOUT+
28
26
0603
2
4
VDD1
VDD2
VDD3
17
15
22
2
6
16
27
LOUTLOUT+
R68
1
2
RIGHT/DOCK
GAIN_SL 1
Internal Speaker Connector
1
RIGHT_IN
R63
10K
0603
R57
0
0603
1
10
R37
20K
0603
2
1
1
BEEP_IN
9
R50
20K
0603
2
LEFT_IN
11
R46
20K
0603
2
3
1
12
R47
15K
0603
2
1
1
2
L20
LGAIN1
LGAIN2
19
18
20%
2
2
OPEN-SMT4
LEFT/DOCK
2
2
7
MODE
13
2
1
3
DC_VOL
100U 6.3V
2
2
C66
0.33U
16V
0603
JO501
1
2
1
R54 200K_NA 0603
R55 200K_NA 0603
1
1
2
R52 20K 0603
1
2
(3) AOUT_R
+5VS
2
4
1
GAIN_SELECT
MUTE
1
1
1
(3) AOUT_L
HP/SENSE
5
5V_AMP
C502
2
21
1
2
R49
20K
0603
2
R53
20K
0603
2
U6
AMP_MUTE
C68
0.33U
16V
0603
1
2
GAIN_SL
1
2
HP_SENSE 1
C60
0.068U
25V
0805
1
2
AGND
AGND
+
R51
10K
0603
R44
100K
0603
D
R73
0603
120
AGND
2
1
5V_AMP
+
D
1
12
7
2
8
2
1
5V_AMP
R58
0
0603
1
EXTERNAL GAIN
1
EXTERNAL GAIN
0V
2
AUDIO AMPLIFIER
VDD
2
-DECT_HP/OPT
3
1
1
DTC144TKA
R1
Q3
R1
(2) SPK_OFF
-DEVICE_DECT
2
-DEVICE_DECT
1
DTC144TKA
Signal
HI
SPK_OFF
LOW
Shut Down
Signal
Normal
HP
OPT
DECT_HP/OPT
L
H
DEVICE_DECT
L
L
B
B
+5VA
PR3
0
2
BAW56
2
15
BOOT
SS
7
4
G2
S2
A
2
0
13
SW
FPWM
16
1
VIN
AGND
8
PGOOD
2
ILIM
4
1
2
PC1
1
PR5
0.1U
2
11
PC4
0.01U
0603
VCC
10
LDRV
9
PGND
VSEN
6
12
ISNS
VOUT
5
PR1
1
10K
PC2
1U
0603
56K
2
2
PC5
4.7U
1206
16V
1
1
3
1
PC505
+ 150U
7243
6.3V
3
FAN5234
TSSOP16
A
3
2
2
PC504
4.7U
1206
16V
PC503
0.1U
0603
50V
EN
PR6
10K
0603
1%
2
2
PC7
47P_NA
0603
10%
1
1
2
A
PR501
47K
0603
2
1
PC502
470P
1
1
SHORT-SMT4
2
PR2 +5VS
0/NA
2
PR7
HDRV
1
1
G1
1
P5V_AMP
PU1
14
1
D2
2
2
1
1
2
5V_AMP
5
6
7
2
PJS501
PR4
560
0603
1%
2
PD1
D1
PL501
10UH
D124C
20%
PC3
0.1U
0603
50V
2
1
PU2
SI4816DY
SO8
8
PL3
BEAD 0805C
1
1
PC501
10U
1210
25V
2
1
PC6
0.1U
0603
50V
2
PL2
BEAD 0805C
1
2
1
1
PL1
BEAD
0805C
1
1
2
2
1
2
DVMAIN
DVMAIN
Title
AUDIO AMP
Size
Document
Custom
Number
Date:
5
4
PDF created with FinePrint pdfFactory trial version http://www.fineprint.com
3
2
Rev
00
411673400002
Friday, June 28, 2002
Sheet
1
4
of
4
REFERENCE MATERIAL
Intel Pentium4 x4 Processor/Northwood Processor
Intel, INC.
“SIS650/645DX North Bridge”
SIS, INC.
“SIS962 South Bridge”
SIS, INC.
H8(3437S) Universal Keyboard Controller
Hitachi, Ltd.
ENE CB1410 PC Card Interface Controller
TI, INC
Nvidia Gforce4 map17 graphics
Nvidia, Inc.
caiman Hardware Specification
Technology Corp / MiTAC
SERVICE MANUAL FOR 8640
Sponsoring Editor : Jesse Jan
Author : Dragon Jiang
Assistant Editor : Janne Liu.
Publisher : MiTAC International Corp.
Address : 1, R&D Road 2, Hsinchu Science-Based Industrial, Hsinchu, Taiwan, R.O.C.
Tel : 886-3-5779250
Fax : 886-3-5781245
First Edition : Nov. 2002
E-mail : Willy .Chen @ mic.com.tw
Web : http: //www.mitac.com
http: //www.mitacservice.com